From e1f5eb5163ca21cd162a1f714bf09b3a4e88e23e Mon Sep 17 00:00:00 2001 From: davegandy Date: Tue, 7 May 2013 22:23:45 -0400 Subject: [PATCH] adding icon-play-sign #705 --- build/_includes/icons/new.html | 1 + .../assets/font-awesome/css/font-awesome.css | 3 +++ .../assets/font-awesome/font/FontAwesome.otf | Bin 49468 -> 49596 bytes build/assets/font-awesome/less/icons.less | 1 + build/icons.yml | 7 +++++++ 5 files changed, 12 insertions(+) diff --git a/build/_includes/icons/new.html b/build/_includes/icons/new.html index a82b9cfea..622a16a70 100644 --- a/build/_includes/icons/new.html +++ b/build/_includes/icons/new.html @@ -68,6 +68,7 @@
  • icon-ellipsis-horizontal
  • icon-ellipsis-vertical
  • icon-rss-sign
  • +
  • icon-play-sign
  • diff --git a/build/assets/font-awesome/css/font-awesome.css b/build/assets/font-awesome/css/font-awesome.css index 17b598b2b..c3bfa67bb 100644 --- a/build/assets/font-awesome/css/font-awesome.css +++ b/build/assets/font-awesome/css/font-awesome.css @@ -1240,3 +1240,6 @@ ul.icons-ul > li .icon-li { .icon-rss-sign:before { content: "\f143"; } +.icon-play-sign:before { + content: "\f144"; +} diff --git a/build/assets/font-awesome/font/FontAwesome.otf b/build/assets/font-awesome/font/FontAwesome.otf index 1ab37becb928c6d662afb48e8905a9dd8584716f..c5b6d22acc38833d5eab0666b547c9806921e5d3 100644 GIT binary patch delta 19213 zcmaL92|yFq+BZ%}G6QD-tx1q2CjkZb-DurQ-79r%+^ANm8}0~kVGSUN8U%5o2#6q} zRdH*jwpOk5t-W2iOKa18v$x)E-tM#~$prfSPJrIt@BM#&N0M32oH=vOv;Lmv$&CW_ zjooVdT&s0%KyW}_fI6W2l@2h|S6^;W|uG*ZO znziQ9IROEh8vy}Z>M{Kchgw(T1GI(Z@>Kn%h? z?`}@sm8u#y50?Vm_!G7yZ!Wh-^uLS!82=;G0h50nzN*)XZWDeDP>VPn5YS>R7{WUkm$b=%B4(>3`9eg5eXpui zbxc*KI;DC=^}5QXx~uw1)vEFYY62aBWr1>FW8gD^F9!Zk;A??z1-_>aQ}?O72S#q7cLS84IlAk3(B2o`2LNZDNr4iCJX^FI6+9KJcEGbVal1ijkP+h1wG%j>ds3mk< z=%mmop>sl)hOP`v3EdRBEi^kcFSIzcB(x%QT6Jh$=&1tgp1}Ui{_UP%3NyqIDLjUb zAd0>pmC6V+5@uaTnQI%Bh7ym{XB|x3PQ^NuFlUQ_^`watsT>sjb$22evMCb@TmF>) z?~rkdy%&+(6BP99n;;#_uF5n?+M=T3g56QO%L>YiOwuFdqLi7Pohj`hzO}x!FA_K9 zn~!RX%ALh>v{SAmH*YSW(kN<@3|J0Hc7)t%9b-1kB~E4 zQcTjtEoV|Bfyo1(Jv5n0!bBn!m7A8{wD_Mgh`RBt5!Bx?i)CUdk$$2o2XlIz%&C?V ziAh?ta^)hkbn(oYU1Up&-1h84_83KBfdClbcMZ zn<}s0_=V1yw|&)e6SGcG=CU5j>gbCkrzEE&+pG+q+(#LqOpa0twWK^ZBn$68GzztWDkVsT0wzjF{}f+CEdyfr_! zlgbyLGuo-5zc1vF#56L{r@t?D>?wM&ff$tl49Z6C(=(tt$tVO!hGkTWqi&~9KZ8mm zu%hm@cyK@B)@%AKxSi8!c8cESJy)*=` zm|2y5jMLl#5Q|yTR#Y6SJ{BeQp-eAwN;E0@cp`z)C{bdiWTIF_X&`O43PY$F+~c)Q z#wa#oDZY67?JvyoC)XadPe-pwqz{#}c6(t~X4C*8*>OrNEi5Z4FY+X{B}Fu()jBUm zN$ZH>8i^?f>P=IDNRgGcjEOVM+uSk({dse z6c!ekC6>u9N^VSxd^>jd(ElOe+X~F65}`Q7Yt*A>gV7a>5Li+#Y4j;UKO{hMx)julqhD@Hk()%*yNrG8FLd;SQizAhiVF!^%-5fb) zDv>tgdCj|_s@NbRy-Ju(Y6B@jBp2;1jO-MGcd34iw^b7SV%2LM3+B8TWgj8dW>joT zjpBll5AAftsoEOTJrS?TocQCcz(T2FV>aob2aXZ8-Qkt-*1np+VZf$^JJ;98c37&d5C+ozrUPH{W5w zY{k1QE}C`wXw{M*&64_gMKm-MsgQ;#dLc!cm++FgBGZ|1Br_=QkX$7B^%e9~ske@m zswHM&gz%FcC%7W}ig0}=*^dy&D6E<*L1r7Fcxx$}T&cTIkcy*fzRNyCmsAFGzQ zayGApFj-3~K$%5BMMb-d3!*V}duEQLwVh-GiL?Q#HJL~$a!d zT%(fW$fi+??EqQ3v?cclNt0b>UIJn;CSX@fQmx-sbY!Gj0i8?t7|?jhd{?KO1rQ2X&= zONV_veCvn-BVQf)!>F*)Ye(Bhzx|-$!KcPVk6AaSaqRrDd&Yi0K4SdM@n25pF`;5& z{v=`2j7e1w2@hRQn3eGNho6|7JZ0w8C#I!OKQ%)&W5bNsW}4=lp4)5g%DE@!N%Kz3 ztDkprUc-Frg2n~j#}+Rfy70Y4mluy)JbCfT#TS;`TKX^h4^p^TjQXZFza?lUrZi`eSNT>fF?|sTrvysTWeeOl{p3 zxNZ8jXSRKp_GsF|v@L1-(i76{kEGvBzhwjMZztO)Y%kmX&m93fPV9Vr*Vc^GjIzvb znR!`ZSu3($&rZ+ToAYvRaPHRJx9q+0NZzXa(EKO!pDEa~d;M-#p{lTd;nKoAh2Ip7 zDY~;KVo&;>!+V}79$K7HoLQVzoL!t#oLg)!&MVF@E-2pJW_K`+QEO%z6_c+GF!xBJ zZ5E?9KnOD`3&ZNT9V~w{V5kQ6+I87DaGY=w0;-V8%LbYTQlG_b5fZ3kT?ZrBXJOIM zm?NnhyB!LJL7}+OMw}?08hE}31p~o?L6cfCmWG9~k@BiRgX|RC2~)uZsp1LoglKDP z>(88t3X7@Rp(=t8!u0AS=)D+2^UAA>@(cFwEikpYV4{m-0@XYCG{*`%{=UVGy|J$>V&JRMEzC7}p8A(YIKaUN46Y-Z**~F|l~^ zbkT}5@bhIu4nc!7((d}6K;S0Pd&H|(^oFs>ccR#Nmk|E)q#@C&VRF(CYX6M8Bp5ONU@G%> zcIy)#hRkkro+a&0J2?kkVN~+Y!MOF{lw0r9Ka7=NmLD+G=8eQCBpfDnm@+;vkJIfm z%%gUqnTL7s>AUvB>UP{CZYOIRg?Z8RCLX=w8b#XlwJIXBA-x`4#rMgoj*I_y<6JC} zVJkP!Yso0md4z7Z5_#TG8bjOS+TxWL*!5>AYggJC44Bv8Pcow?#goF!L_RPy)@~*Z z`3)I0CI#nVg{|Xe@LO6j=cTcT#p`vz{Y za^1yUKeJ-Z#G)~mVL4G;eAmr)eg0jq6}#>J2C(n258}%tVUsD;iGC$tFqPq$y*jhh zq$EC-e?I4YW@F@qD~ZF6s@@yWro(bj$yDujdYTN2;5DK1KfdJsBNnMP%b$;k2wjTF z1Bt-KaH?&xFmin0aw1O|In%y@az4ZO`Vt3KGky4DcCOc-!dfyOB*x9-r%*Ct@s=8?ujcV_G_hmy?Nwz&ZTG=aPXIE60n9IbIXc9}= z92QGXDlToY=yCYg8Ls4A$-X2LOZP}prC7BYCAm2n(aJa>Be$}q#9YO!ELI+A=_}&S z2HYtpTf)1?fciRKT8$lRu3T)P5!^H$OO+42p@#Z=TlKbR#R*q+7?p2W21HVK>vf1J z)+*o?{H~bN3gdnVm4CPN4Wb^4LEc+QMQr44oUuyZrtb0>2DW=z`+d7V*c@ouKHfq0e z+YHBYs+dkL9o;)@3mry(rDnLQx;IY~`PS&(s#5uj(X;L2NT(Ip@p4Nzf9v_HLKv`C z%z#T1L;N3htbienpXmC~x9Pj2nyZM<#Wm9A5}DrX`Y&PTvsV+h6_^IPwqjweY7f2) zOSdFHxm~{WU{45wS09Y+eSq7UNtCzJtFdlz^v;6YQ&*Ga4NpE}gKrcD7>JCSs-p7T zG5sMjIzSRM)H^UJ^jamCj2RF*i?qz4ZkM=&BtA~$m&c5-EFz5}lfM6$sm_?$?DMrZ zv{$~b{gtVr!rFdstR*Uk$EkjZg!%0JfRi?kw8bN@Wwf$U<2nJI7v)3R9rm3{1!LXRvU1mH%u#imC21H@Hx5Q1lz9 z;MHsV-<%Ej899I4FrkR}cb^+ajT@*BGc4$0#&9+fa4&;Gz+RivF8?@gh22W>@*R0I zNrlsKB>J2A^vGeq!91Tpgmd2Oo-MBxM$#USQ5dnaDX4X&+bxO^ISJi=~&@ zXPHPLlr1l#jb9#zv9nxUG7wzzL=y<Z2c9YgII{sc7w z)*1+fUgz$|x}`ssIvC!zft+6hxMwn^1BbmhODN_H9^@} zqSZxxDyt`N?1Q#1{Lz+e`x^}^OWOyBJ2>j#3ayf~Pl+oYW;!c7C&%!6?+CsHxcA1V za`WUw`*`Tz&$teZhYX5?9L0eb2T3^SxB}454#&QuVLPxOub#I9lO=+NK7)phhu-B4 z+)fQpzOJr1j=K8ly!JM)^PS5jGdf4_b!V3^$3}rSX5Pgjo|{)Fv2Kg6T9* z^Yc&hmh!DBL#Eh>VttUzrBzT)tsbji;6a)Ej_skZ2lyjPAcD=R4}GglmxD~?9h z67lHn3j0A5R{CEMs$T07QY9Bm?KRX!#QSz)I98RNX@*58-U3Z*gp_gsu3$RC&vn`} z(7{WH{PxtOu`rrguJ&a5Uun9{tc+8}awC(CW8)gB?{_;{+M%R8pvje(>~MBT$zU1E zrHe#fJFWkqgT&`-a~l3hP3=w*`Wxiq`yHZOvG0K6t%eTO&C?xpJ0T4Y$}deDsw$Fy znl>>I&mK1Y3Dp|8Y<|WQx23P>sXKL>{qc+{}B~6a=*~NO-K{ifnu&5{x_gkzw}9l$+=Y(=gB9flT8_yB=U_=g~Ow$7NvJLdq5( z2iUpd@6>@lzol(S?@>>ZC#eDGNLoW=8+Ns64Lb``qS}(K5ww0La!U z!a#_fwbAaUtA`S_HueCmPdB+UeHp$?UuM_wd$>{$(83erF>C8jazN5=AVzbuJ({X( z$>)T_rotqGc^6r*f0BiVH~{JtS$Amd7DEgLJwvJfaYvgIlL4fJ>BE>73nX^5noQ-0 z#ASg&RNj&JP!E1f4~LWJ>Wo^A=PHY#@?R3;mb^~c4rPaXkbB_k^vmIht(9kHMzMp+ z=nSfRvn#-34*Lq+(BiRT$)g~Mn8i>JT`h9#4xdigJ<6co^B-DiT9&#c$rj}h>*WcL z&Yh0CY*6Di(6|lq8n-%e>kjI(@&J)#9lg(713Pz_D;ev2A2^_55f!~x&mUpokC5Mb zv|s;eR8fga+*KY*Sjpmom@1B3q9#QpTtx%{Ct2LpAVoD5fbi^rfzx16%PvrPHu~GEfFSb4L zzR7*iH=8e=QJc6^)dC(-C&?@4^p1Foq^FzP%xxyEEge`@z0Kq?x5cm!xq42b>H+zy zIlaIeWNvSSB3?(x$PB_cnZDsD8$og*!f>Nm%Q5MVC(}*uCTP>^x5x|U_FlDxoIGiM z_gzk7ji9l*1f#a)E$Xqhk_4QD__IO$!5h*7EdBr_a~;xH^!rexEv75>Mi%2Kc_!na@Q%wSzE9~$# zo8cGC5`ej1i2taSwpm!K0R-++TwFuIzlymnEzDqqf76epa*8ffz6 zrxs10c8br;JyHcTz`%a<#j|I8yRpS9SCn5_e`0cxgXwiyCk~vVTy8>DKSz{AWqrZQ zmCAZ2OEf|9KS$&di$}s?sAIw24R68bHeAR#pMKKR1}f~L6F-eTMQxq*MNZb6-I?wT zF55W0bx>L(t!w5zco5h84~cQv=gV|wJ`2L_Y743|H&S+%_43<-P_-I}-(30Y#R)JC z`Y-7hO$$qRm+dh#3mm!K<%f%%(QX%X5{H-NO-thJ9ai`Vh_fng_jF90F4dzC=ibFZ zdV9g06k_sr98O)ZU@9MzAt81=sJ%9g4GvL~>0hFy2*tE75ZO)culQtn{ z2HF@*+Xo4UYb)#Oqv{J9icgrlXIPk4mvX-BI1cz;?*K(J%S=JDx+mC%% zHxvAq;qu_6vox?**T`8*`!1{{oL}llyR(9BN$Ct|{x5~V?pedjoR>lI)aUZ>_iXRh>EK3ug#pbt_OL3ER9 zl7A?uH8Bl=JD_0#)lGho>I!hFhA;AKVoF6o8y*-ijhtlc_%*?v=9dgZc>QM#=MKcVt>Ps~yE zl_#v25`;Hw)8hr@Ju5~Aj|3*c-8qB&KP%!M;C(&#=e}gN;;sMO@Q;;`5vp~FVYw90 zpFAjeR`53RwjUWiH|Q+v6W#+qW|bbztB!V*I2_eSk5(Trz3ASlbw50ge1s?=$1dPM zE3P#V25&%={l^}3>y4T^ZfE_GOu!I^YKv)$=?c5|g~<}bPco6lVAjATdKKI&J>0uG zkA4LOp3`cWP2_>AV#793Gjq))b!Xrf!uU;EHB*~Ie6BS4sZ~QU`R}dj|1f1Mn7%Ld z&ci+J7)d*yJ)6*Y!ExPOv;Q6pb#+?QTLzw@WtCxMw;9B8@muchOU@R9BnRf0zeIJh0i6*@0_Xl z+W_Rn4f64|QQAkL=5ytnYYXAbC($cY*KJkVDLdEPm%398|A~DoU$IoBiMrc55nn3 zcZ|Ya8!^E9N&7<_*Z`3uDFWvJJ425{FWHQqJZAfmJDss$hBRxyaNT+luBir38^PmKq(3Mx({7<<@b#$ z)##V_ycppd=!XEgxykgpt(Q3u8g!T5v{2p7%U}qXVfwsz(@n}}LWj73tQfud5y*;cUz zaJADUzH#~c6R(+`{^i`SOat-7Z@kHLLzsRBGpxcenI89+UAD;sQ?mo1=FX;$P_33f zPTerZN#Nj>c`G4#XXet+iEqEa<}35Hy60i5BX2=B6T!w|!(J!A%G-y1d4hcTCBxn= zId@y684d&Tt^5vyzaJ<1Dbp=6YPGO6-~n9SHq;(W-TMV)KAX>PeZvpBe>sdh0_dET zh3lS=p$PioKEL;Hkb4gX+&gR-ayzmLGov%J4k7u7ccAs{7bg+-eo?^yUnhJpd?;Uj zc?;i4dsm+a_rtgNy34m%)B%zE-_ZYrdHe}FJVC)d{KbZS$zP3+4$caHbv)rAif1uA z^A`D|+mb(bd*xzUuqxX3e`Tq3J#+vyU%8gPLu;g-2=^F+((_iY*2S!6+r+ks5!}-G z6>2aqFotKc-TX%8=L-y`AB0$)A^{dVhTI6He;}UJrtIv^8-+!0+;nS`SXl8 zyP9e{QfEAwqC4N6f4t`4Q~4(&q2)T_Q3y+M-o>9@Z^VMcfeer(rx!i?Hoc+Eg4_dW zCB@b0-C5c!KHK-C4d$akah{<9EbCU_9ZD+_6B4M;g_xKH2Y^0&`YIwu!YVgv)!v;3 zo-d`fS5y}|DHIA^)tbzQEJzfCGL2(Q>DaJ;o3KdAQ?eqM{~xa4P7XrHfwzzuBzMmW zhmqDdYYyT~JF-dw2T@s*9jBUjrGIuH;sHi64`wc#rRdloWzrSXsVh}4y!Z=EoNrsb z!o=oIQW9BDWrIJ>EW0GftPGkOs|;0U{I4{#Ixf#t%)r?T8b-^$F!&sv3tD&Y7V&55 z<7QinueacHv|Z3DQbO|p77GO`pUYVg_%prIo^wGp;sEBBYj;d9M@TwUz4Irc`~I>~ zr~_^UM`QKUOxtQR`&iVmc?neh#NM+T#dUX+()2PHoH(b>8>pHn56z3h=d8TX$8Uhq zz?^?U=dg(|IR5|vW4iS)h~Rl+&w~5fuoymz;z!+mKs4R(X5_W`@v3WbNq)3_9S^pR zmm4pqL)-V21dr>lltck+1KG zSA8OXzNdHhU&+HC9KLC85uhma_>lF*aoyk;>HnnC<%f!o$8|}G4$1Vsr#~CZ!ePYq z!&ZVQ;vD8|l$BzqK)u|amJjbe)*S*J#vRw32-yiZ`S8A}fpf`~;(cnBN-aC~M+f&M zcW&c#okjAc{c|S+qc$pe0+4C9QyfoWy9xlTeNK>&hdU{rfI_I~ynX;$$8ng-<#xRb zi{%kN;O689a)QHPF9n$5v;J3_9nS-WmDX9z7n6P&ne-zE*_3_3f4b~Ldvah&g6eyDO36-0k?SR+@%eK}ob)C<8y*nTy_qD( z9*8pDCc4X95>aM~_~+NG`%Ts}y1X-JDlb0pC~j*w@Zw0w*VNRkO>3goS8h0IGqK-& z0bS6=Z!DmZZU9!3_a+wGtsf44a$AQ}`EcpzP}-*V;Eda<@H6k1KCH6KQDsT7{fXH{ zwjZp?sx3L-I1qh`A{>b&^*ERCG%kx1;6)Vq>RjdPfT7wI80#V?<3WE;P-a0Vr|8O53de#rFFD=$6$Y4o?Bd`FK)S2BTo{FiT|zy0_-S{Z#5N1x#6 z)0fsPe8pUJ=n#D9{?HtrjfO9%slQlPa-yWJ?!^AOkT_&da44k+)5KpEVi%I8lW#px zMg}-ldcO#>!24*^8?~Wz>2P*-la?Y#k8AR+@&VmwJLH{Tq(OFCUY6bEgC)9&Hvg77 zeG?r9`1??c>YnU7G_6-Yn$_{>x`NQJQM(deJrZF7Ww5gjfP^ZNE3cA=4d;0jRv4?T z{>(r`g=~)kGQh}q3kv@zGbbBCaT`Lc8>xc9$hYNTa4mk7XCM*JA5edV{!HW<&SV6o zdAP~t2g)J3xzC6Hx|;#I_B;+L$t3*OQJ=@gjv5vFVDq$hQ%yeer|?-tw)r%Y1}$u1 z6gYGgE!wkx{~psb+mlZ}9__~%>Q5Xxa@_3e^C@-r5%Z3pD6Ef8Asm5tJUMmsb~7GO zvS&|8kv$rj#PHP?vhj+AZB8{Q5u%cUwCaSX2u~X|xy>R*^6u&8w?2!4d+A?+CT4?v zv9Tt#M-N@qX&1D$h9`bRS_Hpq1N;9^Y9A~c;B|^_y|?KuNyI@58&2z*i08I^`taa^ z!0rDpd%43}W@pcUZ$XIoQ&oXU5OHlk9LZy67|9UXbR^s!3NMy*r;RNl&j5#k;9&kWbqtiG;xu~i{sWOVm@D1@h+DWt92Da6)&qH) zOUL;;e3`t%O>b}n(|;^GD`M^cKtzr?H$t0R$5LIPwvjoxp!9L)*$?R5SM&qnM{y7k zP7pxAfq0!Fsv}ko2)aaF@ie!y5wGoHO@c1<9E>(AjJBp<{lH7dqXCTh^%!SH=&}yP zk{>wmY@MeLk{Uv5($PNQpcIcKkGu-{2L|tMGTHeB^C+uBI|`sKsqT@ z-siM)pCPH>423(2ZvEzw6FyxvvIgMW;ThlxH#*k+miH>f5xU1NWTvf)4so(wAHMM; z(kS57VYh;?|3r%x9VbSY$XYouZp1+&fUzN!qXYZE2&$Z8>O-ds>>6v;wg}AD!de@( zKwce<4!Fv7JMPMNDwof<6EO@c$O&*+9y!4mkDSPPRR()H@%d{-+;jl|Vdpr|e)#`72fc+HR@G5&lG^inSjN5lYRrG8iwLV%}{7KJA0U)f zqg{`%^XGQ9IEohj?)Q+TOaH6Dg_p9h;iU6iLD_B;z1&>7-2b!_?hi45Rt$hY`z3w^ zqyUd)XKe(5Q7k-QdUq3iaqilCT*%q>BZUAxnEpwR>j%QEEO(P&Nu043XeSS{T}*uY zZj`&}FhB*zeQ2e`mKLt{KpC7s5L-!ga9g_-qw-o|ShS%}J~EFB z=HJp|L+r3UoOl5=bUXe1D^|}v1Fsk`xd1OURU0}=PuiXS(v$m0uJK1*VtMu&=Tj8` zW`FSE36PCj{{**6aTc@#(E}XL|~Al2s6n(#nWq5M`e?s0!QLtnz@3`vIQ2Hu zZM^+2xA3XK-*e{eRA@N2>nRH&l{YDhYCysk?fC> zl&9qbC*tf+Q{AwG0Z(@&hIdwC_?&KZ!t?Sv$}x1Q@d5u`tATs~3s5wXU)K$j0`^g* zP|=8dAbO#*7pC{c!I8@I>KVmpf8fG|V*#jBJ4dJ)jr6AvvXe|-ftlWh@)*2SFws3x zCC{vXc&3^9d?HLP&sl@+iH@u)lP-7JsVAS_&J(NrDO0*njhdguD~KiIwhxHz(_MD^ zj_pxxnW8TDWBLsk`!=Gh^-+PrME)D{D7&^!eW%^6qrAt`J>5y8M9r z(cQ;XHw)c8dz;oBsXTEKavX{i;Xff=?f%4la})8l_?BO%?a<*~=y0C26KK>fQ$24K z`>5S*j2ey}b=1n;8`kyR#C^_=h@;J@orSQYNAFHD_+s6$_h_U$*4<0{W*+kBjyFW9 z^5km`V_}E?(y$-t6Tiv%r>2X!R2x>uddYu3HKQ+bBsn`Y^Tl3BJ*|F*cpuWEgCQX3 zQ9V{moA_hn}H<0GsEW znL6nZ)!lxF3cB}|35<%{spkRDKMhKc@k+K($$GQ9wJ1Yjk$vte@uTN#+;E3nsChcN z`Gu6lX5DQDtLDu!147Y->mADP7q(MbJWG4uA?ItJ>1c*V870}KvQ(}62(Vlj(V#Ez zx;*3TVvb;){m8zAi;`4$dM<9wf%iIVGEw)3#sk10qH+WBWG`#lXey%!U^)$m1pp{Q zJfNH3YsC(rA~qEpPP3`VV&#T+1ncfk{zLy`*h%*nhJs5)Pd^jY)$2o5Q%*l(l0E0f zhLnK~Iv$k`^QLiVci4q6n>{LzN{ehVtWr+nWA>30)}YqU@X#iIY)CbQr{Z~_Lu9YekPMgszm zyUR@niwX}EM(^9_*uU58ljoi<)m8zcsk-vd^G~SkKT>Zji~W$gdBIOZ!xcwE+E4Kj zEPyDlDz8Q`d|*G)eZn0J5cWsvNoKK~B|`B(p+Jbe%3h5?n^!^EKmGI}ajTw3yYJz) z9>5(PwM6_f+9*>R(u}aCvi6yw&$R&jrT9Ir*PHmAk-(tx)mdogZOF@8JX7z2G_3F!KyA;-aSW z0|7N}I(wF1<=-4va>5v%|Fk(Ugve=64^0jshYpn<-tRt^=^pDFd(3w%h&}6l4A>f% zDFK~H2X1{^i^11~=adZ?WQq6%LM=o2t1U~0h3fJXz$1F8Zp2Q&pdA8<3^jevivL{*qd zr;1P+RfAOHRFhOwR3)m4!0v&%z?i@RfkOfx3|thrGO!rs@^av@z`DRoD3Xs*8`UxD zICX|PM}1O#T76#qwEC*LRo$jhX~Iyh6Qwa};xzp=TQ&AwsFHt6b60au(*{IL(o$`_ zwm)j*AJR_I&eHDI?$sXDR%_oDf`o2@UWgEkLW~eE3=k#?Q-ntatB@q52%Cgr;h1nv zxF|d$JSQ{@e;3{sJ`g??2@2zlVyxIloGH#0Q^oCKp14~q77vKBcvL(l)`@5B;zjX_ z_?-Bv_>TCgcu)LRRDx7NK|!HGy@L7#jSfl(nisS*XnD|+L0g0JgX)5A1-%vYLC_aL z-vzY>DM7ynYl6E4hX(@?3my?XHaH=8Veq=(Ex|j23xf{@R|X#sJ{|mY@Lz)83;rzl zU%_6GR&O$tJV+)Y5o`%bAv=hDACev}kY~tMa+BO9E#xio1^JbDP(81gA|;a)FAbJP zNt2|h(xcKmRM9V!o|ICgt_SQ^jGO^>3!)-=?CdINkI{PFpB66 zA<-c*A%jCkhfE2X7qTcMDddTeRUs)MsVJh)LnTych-?oz8ge|OA>L_U8;E*Js zgjeWICBF*pN@oCzbY(XPJiURb<&91K2Xz1`sFXtmJ1^<$$OGeEl3tYH?Mj1DK8KR@ zi>L&bA2$vdhZ@xK4hO?cdCq3p?&yNu#|W=(UrwdbaGzqSbgZiCn7>a{$fKN%TQV#{ zzHLkj&F?5a1^f%ZSsqCD58#=g0KlvUREI*O7_f~rOEXbZhe0hM$V-zvPPmDNQu%Wf zzwJcz8p`JtaXyh%roIF4s{K*PoCoJad>kVUW@GYN(?s=QI?=?7@^(qha^4Jky0A8Z4& z?0arT94a;5BfJjWzyT$|Qym4ljm(7dd&t*B0Xbn?pATQwaUHQ$4mu%PBgTF{>Q_X% zzaSgMY+_1`hnW2*X3&t0;%_+dB8x@nR}xSp`x5nx>nafc4ssRZ*z6gXiMmj3y`T(7 zZh1Z?T9`zoJGXD&;r0KXyh6gG#;AnGj{q!|g=+(c3@5p{j-5wyBX2G}np^8QdNk5M zS<>U=5|Pg5T!_AKzEVnn!N$q*f8s8adT*4_;&Cnsz8?bR|3AS&iSw)6|9Y%FjPgOFO!=U45D7B} z*+I!h!v^x7pvWq;+ofaGhbk(hvhs4XWE2A=M-G*Wi;B!kmk#1(_!ZUor3$c;QPV8( z$N(cSo)qUU-XpFSA+PXQd38mF2}93$4^_lwiRX6U_YaWeVU~_DJI|vAg3*~r4Q@UP zI$#cL%P|A^0R|+*ncAEkjE@P@!fh5MLq8%RF@vWAbjcYBiAX-H+q#zcf2V@GrZZ{t z)s>4-X^$*tY?q|OS(sJ>Im1iLz0G|Qj4>oYkb%7sKfwL`74Yi_dinbsG4hKydLiA_ zmTrDHLCa&N9CUWnu7!ykFsUVI|#s^=Vq3WUS>N9N^T&a&jnNQB*h9h zm;ai1L8C(sT^LUOoJ+Q zh`ixf7j`<+(oE9JC;&IUri_nPuYgRgvq9-a3lpfe^2IowJNc}5Vh$m3;igok@$;;Q@jG;h;g9?!8 zp203*L(V(9ghjIN%F5bhZgY#fsqZ8Xx?v_x}FbTgFAVPEt`!EM0 zvx}1WGigC7dClR5h7S4x(}S0)b23Wss|e}!TvkiF3-%TjNgLKjt;f7K3(`Pxl*?ef zsv7*P2LvZ6D_Df`2zycqmPELC5`J5z-Yoq{1WAckezqy6qH+&N0>Y3ILcid}Xyw`> Y<%b899m;6Q2XjGMAH9Bq6cF%#00qdRmjD0& delta 18964 zcmZ{M30zah+CCx4IbsmLeB191sg9xJFh9`;$ zRNS?0ZKa#m7JIvMd)wOF?u)Jcx>>u@PLdPo|CxZjz2E=$c_cZ@oH=u5-t~FkH_vU> zK3Af3PjNb@gc-t$!?anKFIApgk*#zYPo1gyG-3g)8Rg ztbge4$zfr-=fc9m?_T=Q{6&A(`L^O(9sY(a#fiwx@?+Td;xBpW3fH={*U5unVR8iS z@vfM^E=MzBDlUcj@F%UDzoN<=)B6+bCxjlM4ZA}hHSF8Doksm0rjQ-Tfiqeu=7LVsM3mQPxiPwv)jF)pITjXn?6nKCjrWAd9x{ zTrfOsxMX<2@DIbQhGxT8hMz86iF(AKo12#EP?pBr<2Hul(UDnk$+wG~a4&YJS!H5iW(B!(+l(_~P)@;opbf3=e2^TB0>+2WdxX z7iy1aPii06KBc{=eL?$)_L}x9?YFwoy4!V2bjx))x;45&-7~r?x|elVb?@sw(|xJ? zT6aU|Zqc>sQ}h${i}XwNr}R(gpV7ame^>v${$u?${r~8{)BmXF`adNib(LbIUeW+5 zO&ThVl*UVUNt31d(h_Nv6Jd==is&8DKVoXc zLlNsE$|9;G8X}HIJR0$I#5)n+7$ifSA<59sFvKw0aJylm;cmlyh6fD`4XX@=hE0a; zhGT|{hAW1*4DTC0Gu$w=7<{A?NhX8INHUf<$ud$zwvj4QLk^NB$Ulht4e|lGK~(Z5 z)lovb&=_i`1L-K5PBZBPbTQ4Qd2})=joI5GJT0Q(|70x^i%o+RgDow zYV2&Z8T%QB8`F(<8mAg(7#}n)G(K$1HLf!j8B2_n#=XYF#`DIfj2DeB7+*5})95vR zV*JYZ{XFAO#unqBk@`py*(K5%nHO2w0X#-=h_PJ)Yri(g>A&=zF+vMNux z67NwCu*;Y4C3Gm`-b6<04jibXOINO3N(V7JwHzgVB_6B%_~fj}5@z+M_as)SNgBwM z8BbN*O%4$40m7Y@+Za{TR13B=JDoXi-b_0^ck*NbS-I3s{T{2X!Lo~~U#MT`)dQs@ zh_~ejB#z^CgsO>LR^@w{();P2ZbBO{1)56k)cTwO??4iGA?R&kt#kbk>Yu;A&xMTm zpyMJ5I^>KCeV)&<`RBII)4L9@B8QLIeQsZI9i!Z{lTdl-$(849+XyWvDk`9sWJa5w zda8+zCv9eF5G&lXzS?c~IfKqwq=sR~=Ul}oZlRJq12?ZwZa#f~2Bxx{WM%2g%F0VN z$8FwKQdMU2u^{7V1ar99w^(0QwacT#(IF&iCRt^k%Z=$%NL|)YMaM#;cp@{g`;G6h@^9 z*hvUs{CMmlh}i z`?#nf%x_k`Lm1u6`VhM^D#7ZO#xSbu%V_OrY9d{LLz(tvZBD5_v4f}s&N~Q|uD|>4b&NV2lYysCU^c3E zyGz#>#`R%z2}xtL7^mc&rMui^Rb~EJt+Qf|<{tE%i=&zB1H7)9sLDKoU=p_wYSo8P z%XcgYHc$l{$mdAV6ZFL7=2k8|nu{6r#B8jtE%Ok14{7uE#OmBbXb`-qf;Vs7)emb_ zxpoqvkK@5>@dyyHNA>9YwGS&qM>eiw>j-9`atEQ?*&aqqN=r*HnsaI)<%Rs5@_6FF z+wk&(Ni}*=V^oj)Eb*IpD*ECv5^p@sU3@ElE{;A#GAKXC3zaTOz3&<(`sBOS-Lsjx zoA0JB7FD>u)a|A}kd?Hqc29LR-Bnd3=%kqH4X}wuhP9}xs;#cJ(ML!bH;}68YC9eO^0aqsbo{hw<1z8~2?0`rLGns$a}-DS zUT(`EW^46GOIMyeXZLxy%z_@7TIx5{6xyt6`U7Gi3u`vibI312ZD6G(gg(9G{M@-q zmdu@de#z5MpFjUJUi-XSfbBdMM;;6o8_2NyU<*hSeLB{@uUxXH)ML9LdG_q_*nMWz z5fVHV-9R+S7=croIq#_^yWc5;k$E4cbWToiA110*wdxBBHs$8VddF+NliAfuY8mox2)iiRl8lAV)Hhwcdd>K zIt7?GTvA(w)hKggHMpmJqsy;i z4e#L=uI1CV*`K)F(A*qXQ*mHhjZInqd_repS6x9f{e@-bccqqeqMUy|+FV;JR@ATc z{h;@Fcn+V#OXHLmQY^DL_!C1%*Ji4z40yBw57;5!lbef`wy=UC>Z)FQ&~4kYci+~1 z@%yTGR_(P@8Qhet%4!C-cyNS}LiXdh}2`*;~E53v|&7iEb84E&n9c=NXR= zGY=OM{Sn3!snqn8&;z+gD=!>9N~Lw{*RQj;`s8)B>mRZ43NbxmM#V-GPBGet++sg^ zl^gin_jqzV@AUD)`+vs7RH}3ELOlt6XWGl-X(<-D7!)@({fd22b)lzVUtvV?9;FOi zpJ%zkXaY|r5TrW;4Sc7Te#-)wS2|L>gqVm*LM;~=4LYF;C~xGAYNJe#LN4UyVt%Z0 zu@+ymnU<;+s}!!ms;J6@rUbX#m)QIs>D);n-PVyP6@#KRb#WkR&n~5^%2q|oBFf4( zmzTt=W~s1g%`H*3`vQsjjxDU^_&0ef{2}N8`}52T$-& zi_qYh%qEF0UlzBFswwKPt_kX~xQ#ogt$~44xcM5PO*X&tD~$eMuT7a~=jQDes=6E2 zaxI}y$cI5gUkD}gEV$(ta5&iy0m{25OL`4+zaFM)YBUElwVFCjz2*^3qvlCXAbeo> zr0{RUx%M{gHtnmrF1kUw^}1uaFJa7<>CZ@mq)qa(upvK<_|?D+uaV)TfILm(=p6dC zaf|Vr$gz>HM=gxXiF&+KZRc5CvbxOaa;R%X*E$n5m6&&0{*3;n+wqvWFjzyJkzZO|;FiRoE`tKD7O8kFoc)-)?u?UraD1 zOh{Os7?C(P@$;ksNgs9}+&!oJ$>hl7^~oQnbW7QkvODEek1jpl?YX(-)lj{I^|)F@^2hB2BkBgX6);~#r2eRTSj+o#>( z95*6k>iCByR8RPA;sX;KC+Q~VP5x=hZBtfH`Ecs0sX0?uPtBc{bZ^1EuT4*Jw$3;< zbK`vx_jSIn|9$K4-;s5}Jv(W3`Ru?0E9VTJ^ZkP#&;4PZd*1eWHS_*4@2mMe=MS5o zF@M_p>kF1I_-5gIixw^V@S*V!J-4{~;(srhy!5W6=a#C=?pzjFescNm*<-W!W&0oQ z{qXxMR<1Oy+`KAjRr#vNR(+JyDW^xy@SMAIR^%MdY0P5`pZ(Y~FU_im-f`1i`Eqrsmef_o#X+@KYHW$6V zalpo^jbFOoDDGbT=BA`gxh4HK_ulN;>@C%n-d4J>^wqNHvd7B)*fMQP-j?0vk>ye4 zoyt3xcPZ~$ZYnpITgs!$yOqbZx+}TPs<(5UhAVM>?OkTGR);kZCPi7*8Bq<87)oZ} z0Xis(i^|5nBc!8X+A^X%-`Ca`_NLDvr4to)OwbW@@ObQ|5g&Gi9%!<0r~x0^$YT__ z-h|;+$0cE`d-KoztL~hA3S*YT>ps}|3XYny$U__Jg*+oTVky-cDSz^nmtmgv-@Ehc_v zZ+4K_qq5;Dfag7LlUjCq4ODFU7B~`eL*8K}=eF#@1DTDdu*b{h=7M1#vuqUFG7kpO zO%jC}xQ^abv&GAD(f=^3l%}byW!hS$OaIt7;+vrs>r1O^w$#L*tUhw=Eq1GglF|Q; z`2W6q`1o5)b)!cJgM8&s|9H)9%H{sd{izVkAx*^7LIc(M%$avUKDT;Kvo=pLd6eX1 z_KF{c&i3P!&m1)0fra3XpD@y+@N8~tN}^xMGX6FQTxUJ-Pp}kuO)|B)vyLOgmoODn;aHZW7Qqi z@JOo^rL{`sfd?%|Hytggx2aZcJxp4YTT|4F{L)j5x2bI$hRN%MpMnPVku0*0aF032dlA(I zTQU+~s!MLStT}e270lLr2f-zm+#6=)>E3MkGSJGc+XV@kui^Hfx5FOydC^?76U`D} z;Crxco-dg>XSVWsYKozfsfFsfO153$sl(hqFkwL2TFtUBCl3-^)U7+dC0g#eVS(oo z(qh6JgY~lk>&m^B2QkxP*C%#^q1}KJyKSKc&_37)d2V1)cai`U(|oa}8cUtKws5CS z%{;N`OwpOb)3IkSoEvD>bk7!A-YhnaLbN`QztM6ZNDQ6-^`+b#G_%J_a-;2TNezE@ z;B}kd3!mdV9&Qgzx*^ZPe1fowF_+qWWm($D@CTW4JZ;jXY%q{8<#=yWiT5!_JK49a z5`)RYF@^+#%Ij;fz>aL>EtvhFb2RapF@eq-mPEo&K_z;*vyv!790_s)|0vI_DXUf}y@7Ix0q*&=UBt7aK24XNhHkn`(OvX7EUc=ChgUF{}O?pUYBLbbwowUxsv# zTE$Yy@5~Or)b#cVrX&sRuGytb7<$j*k?213vhAN)p;s3Z0Aayf5`j|a5`dB5qZo5- z$rC4TeVAL#w}hUz4AQje5^43y+#K-!m#hoA>lFXcRIEUsVTnmQnGk-O(EMVldXTI4 zzLfD0nR9f(6E1i;Q2AaPhKKFrn%of$0&7^=?7y1^YD$XeXGa^;mLPERoBbhZD zzJIG(G9?TXq%7fH4pEQ2R!_0w968rLgB5S8ES^NFJ(c_7znez(?XBD!&j(J!2`-cT zQg-NI<-vH~wd2Yg|M@mGgoWK|%}iNM#fKlMY!J7y!yUJXdvT`gwDz6va3$1a+Dw-H zaOK03h^d%_HJyI6^3nJ=X5#Hf4IlS+$OjVa>JJO=f8Gwb=Ry79yc#%fMax|-TX1=B zxqj){V|g#cpFLC4fdQQ+4Uh$9o}@f8>f@ednChv8t^Q|{RsWr)(#^D1-S&KP4?`or zc_Y&Zb;;9|i=(X+WDihF14{hI=DJMA`N%o*)G~}o1c!_aGNQy@BQ$omb z4GTE^Er7k+!7nw90|et~*eux6oJ1Knrn{qv+1t$Wb!N*a9GI3_UM+lDC_2mEKs>lO zJ%v>E_2QkN-!2>&vtFBWh?(L}-%vg{@km6?!EfIryK=Xx*6pfccQ8F>hdQfVO)gJ; zR2gwcqH!TppOw_??Rrz(C(4pLvU}jxi+|n9SHDRjcI9q^$83n(Jy);J+9qzbJ*xQb zND%j4m4f%;-se71lE-DbM}b_v5K1rwq6Z?vgM$DLX5*m!N^uIqpS9#TYYt0`>Qh?--a6ohd%KUHARSH%(Kh#Jo9;Vp^tg6 z1;T(ESmqI&dP1CkLbO!6XY_aH6V*A4O%cc>Z}B@rat?yIQD0S7vU77hBw^5dH-rh~ zUFdApPGEReeM8VSjD=*ihFRr@t-3agd-ukzn@WP820x48uga5ItMe|>>KqQUVF(2_9$DG_+7iUZ{KCF-mO&ckB)0#^8U@$?%g(!$X5`q0cRGgRld$h8jw%q8^y#@ zcVI)I9VVjuLP*YTB$ZZw6E_iYuEWBC%FSZR(DAc|3o~k?{z%@NEYD?EN2tSv)yYTj z5vNJ;&tmBQkOK0<6r7KlisrysIB=HpOnGB`??Et;@`>dk7KDLi`I6Y$JTjCvvquI0 zga}vNYvFK4E}ni!x=x=x~f+=Y$6}iP>a zd$;Y2-L|*XQ?e_fZt+58VSK9>b9?IvCnsgP9|sXZ+?!=SkTqaGh<&LX)~NmVR~Re^76uD%9lwt&hk;M{6a04l;UfY~4B35FVX#|?wt;<41oSD5 zC75%WM+xg4M*_q2$lL@S+-yl;9l%S+4YzPZ&`P9?7>C^6=uV>KXFd>aAj-cp$993{ z?OM5)nHsEmo&PDGNR%FTC*S)T;cL~kzJ9*GuaU0@>Z{#Vg@tiILx&VH)7$+(z~5p3 z&3-3F5iW$i!*T?yCUXkzmw{q9ENZ{*)5gxTJ&?0yX`0q*@8zFZ=#Ha9e#rIIO?lM_J=XD7#KW-G5u?jCcMc z$wnaN#x0Lt1Z#YbcBf!1=ucev&C^(^D{kS<`pn^SkL+yPgtEV}_IM;e#y&MH@b3%A3w5Sd76l{%J&)jm=;X zXeCLBoN3kn!J4C6l>Rg0-G9K0Zgp8&Fj0Q-6*~HZwK{l5J4?xLV_#eR({zPyywiNY(`a4f0wtth| zc@66i?Knn+5QHLrmZ_QQvXXi8)MXx?X@h8gmMNb5(%d6i12+VgzXN010*F5Eh^-Zb zc#Bj*WOjnMI!KHhIc)b8`U->q6C~G8Y_R#7L=S$%3jaf8Lcj$JeT5(_P-=Ty5SINk zoHE`$WcopG>L5$q%3b%T!yBl)zgIjd-MM+!7CU#SPW|Spz2%;GpBG9;pvB6+?oVFO zZi=TN98fC^r1pt3<@n9f!fmKxFWq}}8LRt)H-lS<=?olc;f0KcAv8t0w5j6b ziSXC>0LJG@e0*!OzG&qGV;)AJ3$&4{IJ3IP2;hYU9M`bSi;3Fl=N`NhpjSRf*_Cyd z4uqGuBQv`qw_~q}$2~+~u@={<$Xznh}dRB8rSu;D=Kmtw}N>N`C<>%Sy zMnta6GSv80%PFFadLY&Kkg##W{s28l%ano#nnq=_^0m*d<(`cp&gd$9Z_}87S@`;h zetRtA@12%0e%eb{50ZCY2Eq{V=>Bu&!kRe)HTUbuP{QMxX-xh6pGpqexWr)J>$skn z?ifl;CAidn!893{LKK^it}U>W-r@zp*zbsKH4*V9k7Xj^L%#bBvU@00U$)ZrV80%S zeVMA81Y}=L1Snrj04FS7HSmE7TSH#W1KDw=Rl7eT%G9}&HGP$XbH~Ye!B+DhMEQPh zn!y2#Ksd79lpgbvyNIra{=F+@_PlrgYVVjooe+Jc9OY&J?v$$ecNubtHw5quP`;Yq zXF6DaICQM^%*MA)*aHq<<2(j|=6Al!w`XC}nju((F7yES_>tKC<}!GxaDB~kiQi|L z=$t-bqVpebz4hWh-g^7R=@V`K`c~f>W&VO0@nXh>3*^xHTu_=55DluSxA~m%{Y-gh zL9Ff|`GF}vE{KH$i&$t|K9YUH@`=C};Nz;^#TdaGEMymV*ls?ACK`nO^H-RiBZAbL z(3;SXa7mR05m*=r;vA1)378(xyIBLT$PB-%!Ec_&fIzfZCNpLC!o;W*%+9@2S;Hx) zcvzz^ES#j@NP^xx#kgnyMl@U6e<>sC&FctT-!9Z^l^W>6FpRK%Pudn!O1XH!S zakI}k+jBBz3T2iWoEp*}0C95=c!XItw$$UA8V5{Ho z9JP_ZoML;TwC2dc`ij>^FeQDN#a%~yPCyZ`1)X4Rr`GTMk<`4-vy|iZ`G;0LeJPH2 zBC~Vm&Rb(sa$Z-nisIm?Hd=1LL~*t{b}}Fp>7*}rO3&borx$A;3HJxnBenq{g%E;V ztkN}j5O7fP{*nML1{Y`J?F1)bU_e7d-MFm&V($7CZNfz3EzH+a%YrR(Htf>`AQD3H zVSa^eZmEPhFGcZwie>qgep$?4${jagjOj2_fuqm?=O7HC@u5>4z;aXo*c@0+1G}Vz zXHV((FhKQvED+BlHL#7%LP(k|KC>_-AhA`ih>XSuU3oABA6AO8Q)QsyxV%hh%ubU9 zxpxH;_ha_-e#CTEgt<2E+_<~g&ifP7o9b6;C-ob(MqOC80DL@y zsXECG3qDvO@oDNbz3CYk)X1waP2w7on)(I-VBMDb^eWg>FUNaaZy{DrdCNSyv?#4dPdjr0rX#mW5~6Nt1#KEWBT^o6Mv!X+vMByNd4{; zn~uanJGIAw5Do%qfMdAXY{g{vz#-DW%(CCyYPPgGR0pK1P4%{CWpGEUBj~zGT4C5( zRL?0U!R&1T+^M#35w!|>5sPx*00=|Se1OQYFqy4-Z6M#mlhtIsySld216d*iI!;|b zHWL|9P=d*vtL+>1Utv6sso06(p?|o7I|aI%EN(nU#9@qzYx*esgB*3g+}2`ggXQ9LNG6^-M)|b( z3z`iRfO}rWU^gnSZ;H2&R;)$Pp_;{8i2N`g+ziFPDMfQhv6sZ($`k{jfUu!3n*%LL z1X|MbPe91CnfV$do)h?*->mEShzeb7&cBXO#Bl%+y8Gah1VcWFid4M@hD<$yIRsjc zLvjD_3x4M^Az*K{Q=TokISwvO;~D7nWz5vMGfyG#QwkBY31#`f0F_J;#l3;2nt%5_ zia293*Ih?Y?i!o`t3Q3E$PIjhUHESEL*f1M;TEM!rX3Zed8tsR3xqkdlbjU9jK$k4 zf;8MQ5s;26o~6z@ z0`x_~{|=AW8hDo9`wUUKlqWFKy4FAF8N@ZC5S3tE-wUk;H=8()K2s)_r)WM?T;<(6 z|3+^AaPMV%vjkyp#)opEJXwavVutcnJ}Q62c1zlP;P1_c^Jv&xy|8UZFm5vUoKfnw zdL$CEdzB&E>N-O@!wwVL4v{YjhRm1SGQy{_3%x3|8jVirR~c{UO|HHqC~%81wQ|ZG zz=o}Au>?fe=aEMe7?~1);-Cjn3%~Fe{9#CUQIYO)0B|edAFt2*KCF&AL*SN294KE@ zTHL!>&>3`gB+RvErilzkm|1OZVPk25}}D$W$_ zx?NMOT-r4&F_qZ=P1fwLUw?4>j>;YJ#|YwjSZBW{OC(15oyE$K-J^8h5&LRo?d}99 zf$H5wOEw~83Q^d2l?hYpLjnoqyfGY}E@X)6T~BVP&Y-;bkDarD`hhi1HNFWa*RK5lQ)>hWh=kg?NSZrFZkdqcyaiUwmcau)>D zu?5p4UN)4U>gix#KU9(hE>XKAb0E6ZYPRYl8`i)h*ut92AVe-H^Y--VMA{(sLOHOI zppKW6nmxBsvVt}JkuzZhLniS%dzv-Zm3n1-QVE#5K}4=q#89pJdGNZCR0^nppQ;36 zr(teI=SdwXvKZjrK&QC?&mp|;x*IM%M4@QG;KvjeZ9u@;g}7!m=5`BH9`dBZVc6%H zNIQbnFSB1^jCtm}kuZ@412cqFbI&WnWy62dQwP(!=q~ zLV?BE^K%xhvEu>Tw`|#7=8i{Ju18j9@R6#6ugI~fF*0&+=cwr?8Al$j&1aV}lJ|}` zz4Lh-+{4fcG;$X_W*2YN`pwW-9o9i>OLXR^tXT^AC$NtGru@OjVQ@Bl=0M{$mWhLA zK8Q6m68}re#C^B*?N9!<>DzAj@>8e4%pgSkX=ahl{y$zhb7_=7#p$msbpfp12`XP1QY-Fgz ze~|VJP{9NrD}(nZy5Ghv+>;G0j`SZ>ss1$g2yqz{E^r88yP=xdPS|RVzlC6u_D2J$3h6Jweb8a;5TZB`mW9dR z0C^25_4)oD(a@`Y$0qVB2p$NyuhD|5FzMoJlH9l?A3x<}HHyvT<-4FxFc&L&EzJMLsau){jh(__Mr4-z;%gGjBPq2NYU)x)R`V z!`d&EyaRKl6%#p10NF5q5P|RpJ(#|+Bw&U~uX_1uPLg zMFjJCVqn(qAXLi$oYD;low{9|f&?0XzH|dfRGVAe{EY7-tzQnfEGW1bL2f<5EuP!a zVn14hy8pjw4m#=(N_K5V9m3_TIYbQLknbZ5palaEM_0rVe0)5XpUNi)JA!=xo%Li@8uo19-sq1(We-h-wU%ZP}koL3&evLKtrD=)W7QV ze`OJ=_BOBZl4~tOxn3k~9>@}(=fT@eKt8=7MZ|=DF<|bQ;i(2QHQe zmm1hy2JF!}mqn$YVzVJ%O984K<&Lg?hjOBRh#oj@#xmv0`uUM#h`Pw<1X3ZabYDI<9hx$KxM%&+tR zLwd#h3(+n_nP5!x2r#kV!I=i!D}0Bq09YSnh`pXVm@@EHwwIWLcr}su;{pU0%0qz; zbje6LKQFQuT+$4JQWK2Fg={C~&x4k+Pli@TSmf|h(%@kP98f4viiPE!d;X+$w%urR zG&1;)jh3KyB_8?)13C&m#68Zf%Jhc24B0U9FghF^Z@8;#yU()~$pJp+c+v_mNeMsH zb5cG7I0Hy!9d^v2Iw#1H3SxSOn^ExO`~YggEXqO<#6!T%Ec?8fu*$GF7Sp;acJE69 zQ#U<|+FF@#(DW@uVY6&2vIEe;m& zzzI4^T3e(FW=aeT>&T$?x3o9Hc+p5SwRlSRl-T-8p=yo`O8>*j?hDM6x;yN`t*q;g zVg-bxaEnND6Qxq_C+=l49YOF{HH9Y2ip^v zY^IG599!`C8j-^k%1$zUX4U;FKSj76w|&M;pRIGd*RF|cEtE|gKVskGV{k~Lg-h*ToBU5%AIl9zFOkLG!;!(WK(*B_Teu{X8>8_`UX%n9F8T;!Q zA2HKic*c`2la41K|Imy;os_5bed@csf&`j_b1tzqX!Za!yHa~}qh^H?cP!5MKP=Xl z=u6VSy@?eo>Br(Uo0QqdhQq|(d#pkif>n}^PmtYAk38P)%BtfNdm^_`P<|7`LeC)n zmJscuNT`GMA=6d!jRvNCdOVgQfAp*{BF#Km(VRFIyMyu33;qS_@il^#ywU`=T;R|c z(F-Sf3n1hB6V+2XP6Zo%jsGIPzI^l!21#Ct@&~Yn7YScx*k&9Y053>-mZ31OIcP>@ zmJqNAYwE+G_C2N0)xAJEBavWtl7;sm%1VLy~KNhK~D9FL>JzFGjYGPhA1ab zvF`7(GxbljH$$U>?Hg>na`e7^z+r`uf!&N8sBcf*hdE7u^ka9H5GFbB_`Je^13Pw9 z8}dr9Da<&WQJ;fE*~%NUZMzv_iU_HoPy~Qd2JbAww5S{r=n~JshSNL)Nuk2lj^Uj{ ziFW9J7(e2>ZYeom_V^R1p=j@Q?16E|@3bigP7gQk7D6=is0}c1P7mk|!w~)YHGYjA z`CM2$+nMQZ!O>g_$pGk+zc!?4!Z`_e@`XZZgJ?&PKU0XE#-*egat7g1PxRkTN%b{F z1@{xx{SCUh(UmvefC&T=F+Jw-; zU&Vf`?~pIC9c=yUe*gUT`%`|w-TYPlY7Cmb3SRi-m(Q6`^9-8*3?Fs}Zp2f>wU|Dl zPaMRi{33V;PyC$mL+C~{MY_(W|BOdjao*OA#qvY<__}p+lnpQi5iX+w@9@t+vbmljPAiiu7i^gl}vBE|MUx=e*ZHmg0g?Ck7m1a z<*|eT2u^t6GhjiSP+(4NpwaKKw7NtDi}itz(wE$T>Fv$#h(joyAMZw@LJE_MNYB8> zqdT1vV(@SIOfw%JAPm`%F7%jlM8}pK@KbchN0c?Ncc*YYL@u)j@X_+h12<-@xaq!})M^{(*?X?Hf5^Ri0g*3jRhRuTU%M z)Hf8}6A3Yd?b)+)Z-uX}&^J6dye?Q5!JiCFPa;a$69e2-RDaX39$|w;<#*WKVO3!V z!X68247(imV%Xa#WB)L$CCsPMYC376HQhD6G-;ZPn&&kyYCb|$_)X0(n%^~T;kxjV z;bX%mhG&LP34bvBa`>y^Z-u`b{<$_u+Z(kpY1(nxyR}a325lMYWX@@uv^TZCYJJ+E z?hc(hQ#V~#s@tin*B#M4p=;K?jk56XbwBEU)9dsR`cC>u`g`;R4(n3^$mq^vpF;sw`lP;hN{0-?X>EF^vvV{7f7@5gQr~%hkQYP+ATj9s{ejZ{!0E;R#5?-6p`(n9srNKL5wX0$u)MMuyKdMBMs z=h7u~IbB8bX%XFm`tK@QP3!3~dX_#xpQTOoRr)6Vgx;Wk&^DtM72utW(MGE=(b&V- z*XS^gHr`>JWSnet8ncXxjVq1oja!Y|jf%0_c*JpUo^gEeA8{bYJAW5vGKa` zJL65GFH##B6&V|857mG>B8RtEa3d9;-b$bsS<|BAb6W_%vT;um`&%G0;GD_?PSjpZ zBA_8E^+3T@cNFgaJ=p+E(0)kTlMj%hWl?57p5hKAegjGg)pJ9RcSH)N7xk|5fcw?_%drMY^GoR>4t1-LsF0EXrM*<4ZQzqXas*cn(2XD=I2O78>G> zsMu{UDpx!Q7?mSK)iJ0e4~5W`=d1_Q@djfEEvvF+T^`ItJ$gSPIvPYmCFr7Na~DBj zV~A0~qgr}5YUL(joXDP=&Q6|F>e<-tQg4*-t!72+c06PyCL@*5v#eSqlLwvaV8lbA z7Cyaflt@Q|Ag0o2)YHSgN1ACqemekF&lA*6e1LjKqwRQO&86plCX=VGSvUuv(kOKb z@2W0BAVS$;i$@i-J?ajKvSsLF7NeCJ7#MALG=k=kqftiaOtz`GauceAZxw`FTtsAr z4QA>!Y|wrMtfM3p$&BKdU*p$MaLO^V$E#OJicmMvy z7r)!69h%E$Zicf1a-X4|(I&qhv{S=S3wA)W!Kti8VWG`Azc7ot5=LcZ98&qhyp9>_@0Yk2~bT!hkX zQA5ZR5i&--x+q1zLRv?R$HOx4T}bE^<{u%W0(>cG?WVA9`yv@(#Cn)F&nCpn4>MHU zzI0VAg})fK52DVfUdDR#SLnsQgVM;xjg|TPH^yGh+Q0E&<^KJ#p(=a&06S0UnWD2I z$D8&*ueY9%qAa?&tP84{Yf;LWHVy%Ks+6Ou;Znj-qjZp=fU%g)B0ZS$)5X}y;32@P zl;cMeqEYsVGIs&5(d*;hO|wxHTYI7%Lc6$7jtN|t!d?&-q=cM5VMtcMtb?B-{3;r% zoS1pZ?vPNDE+M>(+EYFRwbi#`NfDBYe*4DUpg;YmH>F(!&C6Eo^3&(XS4WdBhQxQANhFr_f5XHw*aXDw3b6 zNa9<51mgyA|L1oA#P0%#-vJO)C4LzIYz}&*oiJX92*%qOndl0VeBqj=eZS>gs z!>+xyyuGWo6s)6}D0Sx1g0@H9%^qaGV!_&#S9z_n>CG6gAsQ`t!^<2=+bYoqGJNcCsmBQexbeda|3y%NZzs z^_n%SSFbsA3}7+CHuBh^HLGnjOCd0|Z?#|dyP`F`tZbW5eWknp7PCy(kJy>$o zepHk?6a1jW58%`X)-7C?ZL3~em6sPc$93;~mrY53!R%J$igjD{56!Eb6+c?-vUA5~ zJN;Hrn?)3Jji2^13b{f_R}`2R!p(wY0Xjn7qiT8&lE_gcjU0Q#IB}199!ci~{5&?K zpY!u*Es`Vshf^R0R5(T%Z^S zCy-4jPZWtKG;TGc)dXV2PEph&rK4&ZDd3`Z+Y5O}HGtT(fmf-FOgoyJyRb6%C@m~o z?{;Gt9x$B;L(Rufm8yMv?EC6G_0@F|@42tg1FEGae}`>z{ib?%ZG?De3;0$ncBu4a zCVtZdW$?5vzOI(80XDIg(1(G1ED*m&0cC@-?HEFl;%}zQ$YnH!1B5LDwe#)idNcqz zKxHABD55|0H8cbIS`YcuD76*7gcrmJ1+U7PHi@^-I!q=3G1Xv5+BLpvY+ zuBK}xmGP4s+zWWXOKX`#<11yP80#)Q^|77Wc5W`&Q4)_CL6)Nslu&S*kc`2*Yv|^Z ztz~6YHP41*Q`05