From 4cb21384517add22df46b64c46089a395a1dba26 Mon Sep 17 00:00:00 2001 From: justusbunsi <61625851+justusbunsi@users.noreply.github.com> Date: Mon, 24 May 2021 19:54:06 +0200 Subject: [PATCH] Visualize workflow Signed-off-by: Steven Kriegler <61625851+justusbunsi@users.noreply.github.com> --- README.md | 13 ++++++------- assets/workflow.drawio | 1 + assets/workflow.png | Bin 0 -> 78680 bytes 3 files changed, 7 insertions(+), 7 deletions(-) create mode 100644 assets/workflow.drawio create mode 100644 assets/workflow.png diff --git a/README.md b/README.md index bb602c5..51fc36f 100644 --- a/README.md +++ b/README.md @@ -2,17 +2,17 @@ _Gitea SonarQube PR Bot_ is (obviously) a bot that receives messages from both SonarQube and Gitea to help developers being productive. The idea behind this project is the missing ALM integration of Gitea in SonarQube. Unfortunately, -this won't be added in near future. _Gitea SonarQube PR Bot_ aims to fill the gap between working on pull requests and -being notified on quality changes. Luckily, both endpoints have a proper REST API to communicate with each others. +this [won't be added in near future](https://github.com/SonarSource/sonarqube/pull/3248#issuecomment-701334327). +_Gitea SonarQube PR Bot_ aims to fill the gap between working on pull requests and being notified on quality changes. +Luckily, both endpoints have a proper REST API to communicate with each others. ## Workflow -[add workflow schema] +![Workflow](assets/workflow.png) + +**Insights** -- On PR create/PR push update; bot sets sonarqube status check to pending -- Some tool analyses code and sends it to SonarQube; it does not matter whether this tool waits for the results -- Webhook in SonarQube is sent to SonarQube/Gitea bot - Bot activities - extract data from SonarQube - Read payload from hook post to receive project,branch/pr,quality-gate @@ -26,7 +26,6 @@ being notified on quality changes. Luckily, both endpoints have a proper REST AP -> updates comment (/repos/{owner}/{repo}/issues/comments/{id}) -> updates status check (either failing/success) - ## Authentication - Gitea diff --git a/assets/workflow.drawio b/assets/workflow.drawio new file mode 100644 index 0000000..a2e5428 --- /dev/null +++ b/assets/workflow.drawio @@ -0,0 +1 @@ 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 \ No newline at end of file diff --git a/assets/workflow.png b/assets/workflow.png new file mode 100644 index 0000000000000000000000000000000000000000..1ac9d5692de062186b2b3de497ff221b2106b88a GIT binary patch literal 78680 zcmY(qcRZX?*ENjjM3f-W%V?t$(K}&8H=}n35k!yPOAx{6(YqNfT67Y<6Eb=iy+`lg zBeV_XeGiLmpbFO{%*?aA^*NM_pS9*$1g^z}Y_7tS7ppAxx0sr@di;emwhqb;B z4UGW}q#z6SGTk@9@d6{KOaynbH09XyRE}s#lJkS^Zu~5Nn$md^Q|o^V?eF^Qm8;Pc z&1CsA8jD1MBjl-q%vUCK48~-DAcxN5hpcqLCOmYE#Lt2jIgjhlnwlHV=6kY@V=b!)27yyXWlm9&=E{1GmQ2g&)UzQri z|6Twi{O`;EyUhR0hbx8|R*1dGneY_vzm|myN*?=Pt3*vCGtS5W5ZX44a!~$%kNR&6 zWrQF0f3H1+2%Qlwd#PeA|KH1!)7}vLzYqSOwG+GJ;)0$w>oHN2{1bZqJyH+wXjye{ zT5)Y!b#FoHQFb)1-tR`tKW)4Y+~v%Du%uxnQw`dRiwe9FX81g<#+s(a8kWu(Ae4bt zd-?T7^hnTeEN9R5>a^=9{Oj~(-+oq?^U;I6Zkeyey>aK8X{)Fr;&I+rKq9n9*UuOE9ua61-?bZs)BnZD3|9r!mSULNw zd9IAf>rVPePjRC4PfXy)F8M)A=FaE8m^fT9KH)vn35*`xR|b6wo_zXTe9?}Ieuo80 zN19@?t5AEzt`M1;SQ*MfG-E8YgAV;Ys1j>C!h)!@RV3iuIy)?CfqL3jXT z@&}~t9r4utv~y3 zfOrCHUL?~w;O5S7%^B`?{0!7FdBFI%z|6n)uwKCQ&3BHR@zgsh79Zu&2TTlrde4*O zSuAwfTx=2s(BjRwKA=|txK`(&!D!4wiCx_5gVdz-+)TBc&eL{B(8ta=!?-9t|D>+T zBs?8i@6zI(_>2s+$v3h0-v_emARHzeai6)kHXZln2_%VR+GV`hVbOOgq)g76IaElT zaSlsYZeNJb-AEQAp|z3IE;LAxlF|@RvU~&EPM?fz)sED5Ss&P3Vf=8{UOF5Vwv8)7 zOH}n2U!S({tBmT4f$z5QD+%3-k?G_de>`9c8K@`gOVRn-H6v1%xzykPMD$EPuJ=UwQ?!hnJHU0&nB5&s-Vl(dMH9$~ zt2D2))Z6}Ynt>;TEuIl|{@BD((M;n)BPi317?%-qS0jK{dfAt8?v-ly+!NhDsiOSN zko+Ue$iYngw&f5VSpIl*KwWb?e+#BB*K7Cp#G$%T3A={6)$;IU4t|U69I7qf0DoP) z3nRxDa}qz5l0@jIMQaUOwZ%nU-_l+Mbdam9F(s|R`z~?H+1cGJt%nrig3@ss@PZmmjaCceX9Kymi8R;Q9uyOMRRz29HHB+?`j&L(d$&ds+B2z#EcD52wlC@x zPLO=IKJFW~v`%&&^;*sZ48JGRCvSQs^$79FQ_$n(;0re3H@4$kHnx?;Y!# zukEiQ`RwNg$`25~ZJ2+WDuo=f96a|FEyd?uHVW&lCfVL%xdI%}KPE&{o8CNc(l9C0 z7P9_7k^cYBc*Fv@uUc*COq*`F!unWp)wG|BQG*po=@G#Nt-wED+s~>+JqfJqe)ZFjwWtK1kSAK6_9?1Y(Iq}i9)(_um*h;nV4dC!8>So|x zDj=*Jssm!d6dDs!S59s|-g2>*6>_;cB4X~T`ttbQo}(p4%fLni?FCB7X9n#{be+9D zM~(uDLX53-R2-u}j>=Id+mvaC;RtijGA8FMeD z>4dZ?z9xJf?_#=s)7pE#D_fru=Am!cR5SRV1?p)mcuDq0!n;C+&BC8gIMNJ*i{?aLahq;LxY~uxSDGeiQ;G%*a`pZsZPAvA zU3Dg%ZY3>Y3SGeIOIgrX+*HP#XZ21R7`ANrTHv8wh#$2lTc5bj6TPcp5m*&z-K?y@RXFVxbV zr_J@XJDgLXB2t}pdy0>$f=u#uix+1>{#n;e>*yBf45S&w-1qS%MUv>kww5#vExj+! ztcGcUs{!=CJ%beY8(Qf}+Xu_P+Yf1eHcHFc@O`anYbR!iCZY&n`qZk^-y%@AH8Izf z$Vwy=d2d!i$s{#V{#^Q*Y<>RkRk>TAU(o%5%hoza|tYB;xA{+QH=#*!?vw{{~bn%7!$uYUf0%Q%Gk)HN`zdvMp|H zmfV9(uwFY1aBAI7Ul)VT;kh-tIk(e0n1GR{BK6EgCetGN?P@?9adpJFG~UhEdkV!6 zP{es|#q&$W^F~|dv4>{@%fgcg+>cw>bQ7c1ELG0~`QwCG-ejf9S4r1-OZ??#*}l2* z?a%g(1&%IjKz`^`$|o#w3G1&I;nKydSH!@pQX;qR&%sRARVPf?TjQwgkz=#k&Qvr63&9ao zr$Uch>^jjL$p$8^iuEpfd1?l7{9DPP-rvlMDB*XIEWM#U2s{g{0@oE-PT6zegRX3a z_Sipy`OkAh28<1@#DYijgea^}0w~1z7grs4U-Hy6f%&OoDkF+|IK{ao+pUS50rHR8 z_hgA5E)2mw!K1<(9*BzW2-~AImP&97X#9F9ji_3@3b!psKWy6Rnr-w$#=Z_hezS{% znj8}|m`Vu+9%JQ!WdG8>BV+u<4 zQZAzL^sQu%{8w|=K!7R0oY|0-{ew2b2VlUpy|c@rZ5Yhr0(Ig*8W54{k{TDQ+Y6|Y z4z>GuV>gdKWJ2RV=JlzMILggMLJ{OUrb_4Vfl zFmDvdVYnhel@NDF%AV{f_b|mYl&nMhNOf!4dMeF|*3zTUOiBP=utAS;8$9RHY^^}Bw)_S#e-PdpLDbADgylB<8#`N#HHHFyK zb`#}7_M74gT-(_P1VFVwTr1h6ODRL8dx&Q7{J_@32$gE=9-iXG0Rg z!6Kb3iwZnkfusPRr6Sca>vk;-yV*l+D_Boe`*O+92p@Sx+A(vrMeT}$C*BKBk?WM}uYD*@_ zQg#5@&59)<3bUsRF2wlC6(uZNvE&^r1~Ezp?QNlD?4%Z(@viFGSxrqhne%^DAk!Sh za(vIGE!|em$Jme?#ncfk>p-JJB(+un97a8TM)!_RD>8D7aoA9!^sLghS6CD zHW*|J)|6@ktWFYGOTmokmNJl}=@|+LnQR+J#8Uc^{letjlODSlWW`gzIIs}Zx(O9> z?VNa=Ac0AOGylv~4t$4ngul6WEWUlhA{@7dbswC(lQ75%pKC~p)$Pz$ROgxiR*l+< zWQVK2S%x$dTT_aUGqBRM5%%ac!^{qb-tR%0&_kr8k-FKO+&>d@-s)j&#M!gkt+x#a zL&dP&K1DJ6J7119;`5VaFPObVZLG5^GXvoKPr#$Ak1RtWajxPo(JZ4CIR6u5d&5Sm zg;D@~>|1uY6qsVxcF9giF{Hjz(_{3T@Bljj(!&(E#oWX^P{cH|Jbswe9fv%wQ@4x` z=Fw(a1(5tcP!}42F0l~Ak}`EA-Jq{$C`?4u;kI2Z(}P~>65w~#^Bdn*i|4*fLkjbkoqI;-xGrZr>e1s z7_HMf17_;3>3b@$C4N!-gQxbK$c>8YBJv4(aEo3lLD$#R2AiS@HR$}zK7;qfp8m&2 zmhJJ}g-D@7soWX^6!}rAh1%{Z_Dq5Ff4G_zL$bIdE|2!>Y6s=hzvUlM6V#s2v5TVF^z z*)U=*_CUKSW%MJhl!Y3uF_1NEq8<&wJ-EZ&i7m{gDM`XSN)jQ|tBFPqjcY*)UM)tJ9jF%U7g~;Qg({|ZYOjFbZps0UED{IxR23Y)@BBz^z4}CSe&esEg;V^93cwNI zk)}lW^lCu6q8Q=ekcwzC=A``KGFm;x)43VRBU=m5(%x8ZLG3acie}RJM>8>o%5
G@5JjDn87lW{0*H%%7_Kk_a{}+(7v}$<7JfC_n(`uV#9eQT34)ABX{jJ&T{D zt1<8^JD(QDzxm$Pj?5PkLeWwyCk%X$C<)`%;|4A#V z6ECzj$S?h}MauzS-v|t3>cLvSpXVp7_5YL@Qxh#ha?2t4shaiCpQ?|Y zlgH#vagY1{Z79>WN^<4)hRPVES;$zxmLwp{mBet0_k$6&0KNKb=|6`T`BzBNXs2ub zDuhYW~%{!);x0@ueC)u)VB zK=CokZg)%&IO2xY$#AWn3dAY-(PhHrAG`~wmnw-S7MMld8ZrsbHT0Zrn-2Wi<9?YoYb z=U~P$x?2eYvl9WL9lzmXdi3;Z)RWZ4RO(O7% zrMRZQG(mIPk!U_N|H$QFR)t=xAOzmIvqYrUnoj<>e8Bm)UK81)1Xh4EO^zi$B`j^; zrO_>x*rq7W4BcWGU;&ljzoo<^1!tRWt?tHpH(Qg#@9%ZRz#?tygoR3)w`kxKs|Diu?tq2Bv(lu;`0k&!$Db3{~vRj9B5Uzri2rOld75_W*{3SpZ@X|Iz5BA4q*h1*4~6 zh~g3`EFD`$pbE#$D*f`UL|W}o9zmyFNlzw3QfeVPG{f|k<|s~_T7FLW+~o0m+RSCA zDAls>3oR}IfxN4$Kut}}mpwOTVHD3UV~4Vr(5vN)eM4dq4w76fWY84+ssnuyT;D`g zBFLKv1dz*^NYsm#Ja35^1QQ{6J~0Is0tY_-3W!|?Cy?Oaak#O1oRE{haa>klg5xnA zLFqUk3dK(fdm}hQ8XNj6KXDUe*ai1!HoYz@;gIf_4qf%rou_ttJ8kPdS3%^Y>-5;Y zCHmnq;um5jCY15xW~+8Z5!0Zv#3^Kl=!YD)RgdJ+bXL`?lOiUS@-#QY*>y-xYIjDs z4dA<3F{SSIn;uaQB`#8(#r|A@rt=kWNC&<{^sD!MXl>KQ*n50SL%%~!niSJo%{ewr zB%d>j7g<~$E;e4R#Z(8}`?w#>k<*HMb}P~QhxNozTK(xyVB6R-BjU!*bU^iPBxjcz zGxdmZEiio~PNe399K*zw&Q2khiRD^7%!}6BS)ul{cpRKF1_Pr%??9U+0$VW5SVd(T zF`CgsO|IS5+&L9Dzr~NH@^4&KUH9mFWu`8jujV*PG26( zM*ncOd{RE?yiwPC}g@R>T`j!+QU#nHGASV4M6AG)n zJ^`7^_Fv`~Q`#2HIGerKxhaL23q&ThXPxc*4z>O)nl9F@hLso%-Bb8}%hg~#ScnBK zCU+z)7_Yae8w`Y=Jd-~$a#ewdWQ4(X8S$tlvE6|f(-R8L3PYI5&jzbYKG7BR3?}8g zhR^^~2or^4<7AHBWY=LC8t|*;kdg&I8KjX`ACV2|AD6^K=!SbsN4>g~{ks6*G0w_* zf0CK0N2at?Ms5b|rAkGV7whMB0J|_UhGMfFnyBdW`dj~6s&Sf`MV^92TV85ormYc^ z>;4LeR&)aF40~{Ma{L^hjX7EAH+>;p*TNKix#0GUF7VF9*rkX3>S{gCqIPz?5JYxP z?|)D4e4qTM=j!AA{V(N_1l-(#+kh`;=|V6bf8NU>|7*d|r&L>_69I^3(=1;rOG`~{ z?fmGIFRy@)`(B3?5?j^B<@V3~BD{`KER3;nxs!N86L8Fod=x^mvm0-Je?4)zdw;SQ zb+^i^bVqYa$^Bq5miHJ?S9SOD(P6O}axbS>Whxmc^+ooi9MzfidRl|`FGUeyWEHo^ z1YX#MzubDJ*`UKc%;8}!vo4anKJar~@KkL_908&yh<=gH8f6_&LQT~|F}RX+t1cOcco{*4-tefLm*|k7N?sd+v9oi9#TAg z{u)r^uJZEU9#P~I&lcLdK}qfOjj_*RvsZ1buOKF6(crz?&k8Mw_g@)a9+jzn`%-K} z7t>7}^NlL%<(Amo{Z&u%2c_a>- z3s|6UHuMUzt<&fF6hm-7>WG5Lt52A8Q1sxBD8}Pq!LgNI>JJKXa!A@pF%4fzE1&N_ z*SF435#dmTa&(V2O>;P#{22JDhu7ESgW(tyc$(Wc%h=wtWS{_4ubDi1WBji3@#m?= zDzb#`(&W*7_M_cwL5>P#t|iz{joMTcnf{o*1&vr8keX*JRPV@g7do0)z{na0tVJ|#~bx6RE>%bT;E zH1Us>_cQ*0IbVPT_Za5Mt0MQz8%k|f8rYaX(gD`8zIlry?M~1rf2qLp8oNflT=`1jhW@D zu4*G(j;Mr3z_JBQd$ZY|CQof6aP4Z>#3nW7JrfK1n4NDR?U?u7asEqRpIHpfQ47w& zShEZ{?}70HiD{rG1!|!tMO*?bL4(f5UN0hwd&-{U&Y7`%-A}t-kxX-6@8I(~euvMw z*dKHOITPLxfqmeFm=}xjkq33_B_8sidU{jyXu&Eqga3ewtNMA2496t;CwQNtjFqAu zW&s5G8)|es_p!rbx5?l6Jm@0QAMv4SgFdm7L&T2jR-pNll!C%EL{zcfX!w=3J zVizxiP`#Y$;{&Y5zk{5}^Qo+6VCU?4($wyPZ;k88hEmM&-;$wkC%4JbfQQo*Q2+7f zkbsB9T;03fS>Fd~DGxz7O6Na?o;+iN-zXKfqL^0%G>`r?934%Yy7A{Bx#Ie2+B7Ds5LnGFu^ zhiygL+}lMwZki){J^-o5} zJ)$Oc>f4=vVimTLN@qJ! z(-wdK3?QzyZhYxFA3hVdp0Cx;+{{-+TT9*Tzwdt<*M*q2foonDf)e?h?i3V+m3y)- z)n)VQU!2-X1PPAP$NW+E2YZ?Lrc@f#z8P^@A8wjC-6C=@x~p>G!li5Rh2Pve{foiCA1d~v z3D9Rc*4c27Ter8c#gb~Qz4|ck)S@<`v$#jbs;YSzM=SolQ$neE^zob9c;kHISb@ow6mQFZ z4gTe_&t8Rn_O7Yzi-h&RL%dadV+obZey1b50WhL*H?h#PvCeA1+@mx2@}|*c{r7zxzCiY+^7P|*RUrJf zs^w73L;7)X{Mn>PTHIA!;N25x_g1ufL*bst^@y8*)>m!u$y8ECY%n@QnQBQ6&Z&9> zf6r=KX&SCdnVJ_DV|8o0P$ybaHHJ@HXruEFC2+*v`xX0+a;p6=&vq=?S(+mn&_xw7k$W{Te<9^8XtW zyt@SuIm1WNWewL`Z)f+Y)7`Q>TOt&k#Nc?{`B|`yHbH;(!InJvu1+Ceh!kS5=`ewo z|ou8wt?Ppjo@ji_8~vAPqiVybZTg?jYp7M}?h-3Ie#R>hQT zTgotv6lFjo?kW!osV$uiVYQqod1e{|F0!N zi1~1Ls!{iTTD?dW8P2#GAicb16(@-e%!4s+u*LsvWccAXGF$8Ncz;>821mGR8=)Gd zs9;6bko^nG@PH;IC0DMmNL?e9{U)O3mJF|$j%(L~-SDGzzE87Mi$cAa5XI3xM-5yv zpzlV@&WzX4+v{+2xHq!4WW9C1IM>b4s!lChTdK6G*YD#b{*V`Ci zqwb3tlM)akyfxOhIGL@`6(-_$b*Hmy3j6)`L+jI$GrAy-2IENm29pEfdf&kAqE}tt zi|7%runzP3H#xpX;|k^(g}z9}X$g6Xn@L`F`mRMWPTz`%4`R`t$XZRZONl9IN7nnB zU{1GsJT}x5yu8l|e)qyK{oZF!O4aMpH*>j#Hd~@0_0AV1New2G38I6WG`o|)d@yDP zm{GgLfJ;LjYz4V&@LJ)P_#5cYU-y<8y7zl%yeJAE2%_NJiOuuXPyz_Q@sSiu4{R3b z!l%g4fgqF5yo=No$H6%_Z?b`&Fx}1UFCC8-kRYM0s6H92i_{Jx^U+1&wJDeB(z<7x z;ZFDOpY^Kx(9ATD$1S@>0z)jPfw153u{%l>HH71)4ALI!@CE7yG`+a)cq{}XTjgSa z)>M3U#1SU`oKk)_jHr~D>|D2-C-zPVIQU~^+PKoyD4pnJvoB|TbBMQhr)#WN>4+zc znHBG};|iNsS`06)?TU5uFsb-L5qi1*zHhzymX+fzylHH-H(S!zd6ApU`8?_6i#h(M z4Q7F{+QGL(G=?KkF(OKFc3&EJ(JhbLlqd57M+LEX7>fw^IOB%WmA(E^Vg`Kr0D4Z@arKHWV5Y#@mG4=WLcG-nQxd04 z=N4Y~vE2u{dySrutZhBqgLr0<=5nX4WU9Q*31(%4h70OupJJtKxI+H)z~9 z6JFb^N?AGC(J&u9i~I%s6TZFhk-_e~+Y_!DyyDZEvp$FSk!jHMv(WqLweJR1d1lk2 zSId(c?7Vsx-?ws1X0Km(BE4E4f6KoR_*PZb{5Wu#YCb$Gp9CD10B^?D?slk^RC;+o z$Pw;MB5kKMn8reQh>CUj6f`=^Xvwz8hMwbWR#6$%PDGwJ>{|lfgu|!GefT<3RbIzb zyFbDH{_WmfM@;Je|9$xq#nM=8#Mi~bF+ zH7oMD1NR@+Zu0#3nvFQk&`HE86AD@T!mNTAhs#?v;bE^Wgn`dqLTC{xG(c6yeTkm6 zvQlS_c3@yTcTVz-){J*QHrc`;DCjz6uU17SP-D|$_vh<8l!OVw;53)k;YzK!=&j-r z`}Z*F;%#e=J@0^7S)nq7|H!!o@@csge=h?4X#q3eH&D4f;D^MlPl821w_9ngJv7bc zcK&qeH_cqR8E1w&|2Pr41P(Qt<~hr|qEqx1&4f&9R31J?X#>G<@-x^*ZgNsdMv_tZ zfrp25pap~OFAG+Wszc*?mIeink=@z;#G@fuRWEKfacbU*g)n|eqp~ET|8%79aE~di zJ#EX3`<(9tvHHmJ3vaIJW~hZFB~4tl@4L0=C@~SCG6lZNKTNg=f85JUAeRwtS`mu% zcQgy-3--}}9K_o+se9OAy(n=p_~lfC$|nV-4^Dup+T3VIF#Nj!c~D`TCz#Me=cGWI zU7Youl|PIp;^CZ+)YyMSX~7V1wO>_-Bvrdl&l!-V*WP?D@MlE&ZWg6b-8h=#Yt9D_ zUzBF1Le9Pdv^i{K$v}*d(i=___+0Krp><7Lt3(2Cxv?_*LyS zMh0J9etwIsv<>&;(=Cq=QMYfD)$KhVB>N>pWT2QSyk=jt3f|D_W>O=R@j&ONBh}{i zM@wzvkm~?-wm1|y{X>h`&TCA9>u%aWq{Yh3gHl{Lp*5lI9ju%0G(yF+v`&%9g+*47 z;=FwJ0|au>Wz_w|DUqpkxE`>0Q;@3)$x2N7rO2>A2#GJEebOi;seQmhIqJ0PvbVC5 z8R?)-_>SVJ7COl5QF^G?i;W5PhU?a>lP4=5##kK5WxSPCa&@3lRmxr5Gk8Zw<_GL4 zB1%=U-A1xw!%f+qkm_bj&yKq$g`t>3g|u)RpKoZNRbGuLSXPRE*l9KPr@vIseDk7J za~kS1d=s0Z4bGdk@xcX-6_hoC?n({M`lZh~d;D?sA!N3D&czE=j4{XbA4(unnH_r&nc6jGM~Z$xz(vKQVc3 zq`yf^sQn2Q;*IVZYhQR5eZgnF*!Su2(=`;{ah8%_Gdtu_xG&`+bRaEJA6R29rhBFF zNi(Z*COa9(T$MhvL;|yi*~S6@Lk`3i$X9wT4k69T0iy8anza-bpbL@<#sH|6Iy9tI(m{6J2iQadH&+rVT)DOtc6+i276xE07`*9V&A9+2 z=qoCQS;NYm`gyee#9PC#AAIgox)!Dc$n&S{8Mgqk4tl&)w24D4To9+%G85(Co^3vB z!+jN5sOdqCxvUh*?0ex-FtU)H+;Imi!%~7BBbTks}LkyQVn1zr^uc2@xD`P>g2s@`*Qw?Z?`u zm;QY%TIzYojd%{bfJq>#00lq?QwKfdCRh_>m>xG^tQJxG@}2I1XAcE5DGpf zDI|GnW~v#S0{H})7 zAtFN8<#fn9aK9r8v6?Ha#0oE=8HMT{lDJmgh*xrj)_Op&N=Al z+^~BuKWzB!>M6c)RH?99VvekIk}fhWw6`6UmFtn?L<9kJ04C*)N3(o}9yyjXITvr6 z`=b#Iw^MtvC#U_OWLW|Hpt!whojw{E&_ZQ2+Ij>(6W1Tnvn= z*1yraAqGw7-ld%YRNNNs3xR+BOb7rZ=?&kA7NHyo7X!lZ&Bcu8&Ha(vaFZ7w&*9>m zMcK8IPc{WFa*p7YnzsE*`U7KYMQP3#IU1S@F35nGzvNJ)^Z+*=Il1~M2xF$o`X%RPx|zzvkg*o9mS%WwxK1qTk^@AI$KPUfVz3!ig9WGK zlKI#FuDwz|Q$I1boyiGHvrfWEk0jVk4w_LA1)U$Z^7`r^r zC1R?)C}5^AL*URt7xmuv_2i?5)y`~3=RQ9E)6_>2RGz~OrZn|Jzg+U=#U~)p_;Zr$ z`$id&Qx&%QfGV@!qJ9-YIoOYC;wy`f6g+-AoPM5rc4rPtGT{>j78>W8k$>t9RS4MB zSVeh}>cIFqO(qhfWD7{RCYXziX&Bg%gb$3OmOn19oL-E-l5pc3Y8~72cU8Zr6aG3g zDHTmy@uGRUDZP0-D(v$+46r9$MjH$IB=`Zli~}}+l4C!2L1L+))L~;NkyHpJs@bn> z2Tws=iRd~b9N3F^lFeg8q3@uMe3SAHkL$*gh}%sq7N5r-{O(;*i)^(4+5q=u&mH8T zYp_1uRVHMPlcl$W!mY}IN#;DQL&VumK=m#6!`hD~WlfO!+bpM|AhHZ^l#!Z}JFLuG zUP*kEUAFS4`Ll&OeVSPRD01Db6MTI9T}&xC?Y-{zN%FWD9`wPGK$;)WD(4&+psa-yC z$S;)aD_S2_lfs|uEB9bOk&woF9eE+Q;Rh%cJ5#S0>KM-Z3x%)PlN)&F`Q&VzQrEl_ z+R*%?UGh}Zk%!9-USBWGMKGAwHF$;|aTVKRrRv5fhP{oA-OP^`7`1Y1M@&}v$+ZMq z%Pw(SE5kPMvPE0F@8Vqyj*@a?$Khj43fUAkhKBV0t746uFL!r$b7@j5Zup?5B7bUf z|K&McjG+eGm9N5j<~xp$k!?^5^73L4dSufAX~|$gA#5FebzG?YEX6#SZyYUI!Uq*_56yOR=SdDJ4(F?W3==#m>gY zCGeOovhSD59?i(*bd_W`~t(Di3YUVM-7@wV-Urx+kk%XTe$YF ziq9xWPW=eU^RxhYI#&zX+St96!Ac6;cFrBss80f93|1Y+_q5J9Z+12>;y=qe8q$0i z!+A?gefTbP@D=ukSG-C7)WH(_8;)5(DPRu#6aMc7JRU4Vz?(7l z0zFA+h;S3C?kiWBCVvPL7iA)Vy*;X zZzAqMf9zz*No*=L2Xgl6?d{W_haWzbI7DkLo-ei!>Dfb_E=<9xvXvSv_O6rR4=gS` zDACnCEFvSB<+=QUk7jjYjh!m(iVz}ZzyCL~MGBA2u_(V&O{5~KirQvk`{0cB(_Pe_o7oDMxp8qfJ2VIxC zZH@N)48_^QkU?VMt_eWtFm(}!brY!QpxuxvMkl|tubn~cArEWFxo?Hr@X za424CdZUetm??qz?RxWYFtW=QqR9J8B+ehzkQdCX^w(=TG9#L(9MJh)G>O?rfs$Ah z&4Gdxb%%M2#DjR2@}ogazPg6qMqpA^iZI2nbZ|oY#Rq6K=BX_zi{%+L7PBa@uMP7U zfg@-Ag7AUl*4dz=z;`~3!>oxKJFKh48_!QQy-f?m(FY`!8RZ|}e?RP8KpMG>%}PQ{ z5rqG!rU1;tj>mxE4B=-uL^L7~k)g+U|DfXY{{Q7*2g9Kv+kjgSyLOE{B(IdZ%xy9Np*>ssou{-l{39(kQ?fI+p5Ms6gG& z_WtJ1z>rYDFBK(t3T@Kc{H|TU|Dj*_{5jCuRRVM@vaKltO#*K3nDS1mmYHlUeAlR) zsZ3V10V{j7K(f=v1d=q~ax|kIQ@NQmVIvJ*bB-VA!oQP-dPc}`LJDo{5HiVMZEamB z$)}0V^M9zD(F}WgH0C|GZHrV&eTZmif25rpqYtWXGt9xvu@lW_&^*N*?|%$^CiP>f z&G&u4-wum6jPSrG+ckx#fJ7sWk>jYMCkm%7F%IL#8tw8K*_$f0ZBW+4j01eOa4L54 zbRv$e^Li#bp{Nd)G4QU$iK32L>!*l9sBuJ&QqrRC6K}ncVd}fc?!Cy(UQms@gnxg} zRBg3Nj=EH(o+Ur%tGCZBr{WOC+mIL*KfV11bseuZ;9zU;_MM5MP@=qnGBo~kif^8) ziRYwcTGrMUL-oDby>kW+BEvU&e|w2zn@Nl8n0mw zO6SeJi`T_>O(D-gOQN65`&Xh=Cqcl{jk<$S-?h2D8K0QgMI`wfZC+fi0MdZ4*vmim zgHLSwy!fy0Y@Q$Y@9^F3Z%&QSu(XiGhNJ(?{+)ZytQDnkr`tB6VDZf&Aw00gkiTVu zdD6XmTRRgtwGRwpzp%sI+~w?TDEMMlFxN5K_~#x-qhI@eh|bV#(^#%8>P(s5z@6$l zw`z)Xnz~ZYe$pUhIVQo^%Q)x4f~%9Y>nnbq<5EM<(k{mPjLOn1*th>?T~obH=F{+ycMIu<6^OAcev;ePbF_K% z6loosudtfKX(}CU=Qotebh_;&d$>)DcJ;CwdkK@^_;n7v){FiA$Bt`!4nrS?kS`n@ zQRUexiNh=?lS+to9oOcs^jluGy6nU7kY6V3Y+9QNLKv9PLFagK?t0jK&*2U27ecL< z-}zgbgub^)h4jd}d5jziK_V*L0lAM{Xri;T5XKfau^A>KdURS<8G3}!SZbNbW#2Rm zBCgH7lJE0UV3L)w4tAO}5see#jDA1rtQ;-z{F=HQJj9jUphMP^!;D^p*$58gPG9FhSro+APB0cge!U)$ z2DV(P6U9pu(ThZy$qp8`CHdZo{7(y@vh%^i3+R^v8aYwrU)#StrGP5p@afzC)T-|q zyhZyuT3+rRv?A{Bc(VLS&%6e7O5o?*#Pr*D?bq=&xpv?c)4bbPrgQ)(55*!X z21R_TN+&a19bmASCx6gM(8O;^5^S%=#}bzirk zQI0v#hLB`miO)hM0Vu&75X48uNcI#GY$-lNUOdwC=i504$o!q zqVpviCZs=JcLyk*8R8qQrRogEQZLnF>QZYzny}^3&k?|Ks5>mhZZKJ6d9+}q04<<&1L@CqTzET_8Z<6T_Gsfa*jI={Za`LVZc zJx#=gsPEj$U_>Q&kpU>rWe_XdPUPy>vcMUyxGha&=qnAEv9ThK(QK|%nuT#HyYShmtfdk?aXqC8ynqAiw&SD;z_L}l5Fb&-f@ebvV z)uR50$x^f^ik^@L?#dt7@`*&t=28;FO0X;DB0{b0?^&soKCx>m`$F$+@2|!>;y+mB$ zdFV)Hm^Q;KGWSeeVB>Bh*Op1=W!=?}1=3!KS?q*+%DkKvW&^Aad1_8+G*y@-3hspz z)$?7-CC6WaJ~aaxlX!Jw*|McF0j4*>elv32AC&M*AkvLsJkWTlQAjA9nt38xs^g#3 zSsZ+dVzk_s_}uA}I$j|xEHk|6idG^7lwbEHJ}E*u=nOwNQZFLXNx93FpfXIMMo0=G z9O;Bl1WVGpyp4#IassStESVjJD_j~cIbWki_-h*08;cseelO;-O<`$VvMba*`EUOuLZE`Kq2 z#fdKOB}&y!_Z$3ZaS~LKE+E#*oO+H;v%33L5=lv}oG?_+I-JHwFC>VQWjG2Yfrs59 zfF{k0hk-_M-N$Mb1T?thB8IGA$=5b7MxdPf7_1q|!)*qA4{zE+segpeRPa8JSHsnL z{aJaOY(tQC=GUoR2_D8;!7rEADUs6%+t=wz5sGyuIdrYn!)0MEihQ>DLNiyw6NKGu zlP$9wVs2@uW|*m9Pj{=a)1E<$)bIPcfoa=cJ3sl7E&4O;?3}xG{`8y}gNphf;TC?s zw~oE;UVjdxyd&z5%kG=hTwHjf_h;(aV$x5qMeTpmU6%t@mn!d|*7u$Wx{#oVUgf^8 z*q_SfFON5E4lVaMd#zuHlIo_AY%&YR#b6MA5g)s3plOlzT=%!KDID@F*U8Oy3F%?Q zqIBiC%Y(HOJl3FQR&Mzl7*dFtddJe^joF{+31e=>c(7LFJ9TXTv>UFT*C3t#HVREL zNeyjk5kgF{a(%J_QX5y_C?7Y`AS`2sSD@Fr_m}<3osPX zJf#6wAPtCNqdbFmU-9x=dTd6pY*dyl{HcX(ByDv6$gPfM)k=K0wu!@x5*aO96}u~w z`OWVcQzYOZ#0)PP@Evx3|QaqHfC%BgMvGX5X5=R?%e@#03c=O_I$CV~DCi~rP z>&W#dxCggAtFy-jl#Q)-dl?>VwIZGfBmKfr8@$4rySv|?tg^6MP_le(w!m!WUajG<-ERQy`Z!WKhmTDr^V}+1)Q`Q|~+69W3%d^GA>B>C21nrF~(-MWJZt zl<$rx`A%w$A|fPA*9f+b4^ngt4GEsmHt+&|4A1bbkc&$H+E&0_yx*%MT6MR8+K9S-1kzIap2u~tRuZQc+d`}eWGVaR*qJvx^_u9D0j6ewR z5dmoa_oulMU$GRD?;*RM4_f7(3%8xO@y8p9^3t}VrwDg^e9yf*NBgExY1P_H5E7Kh z!($%rYc(&a5}ziWwrGAd+8e&Lo_>+*bprbTx03kOJnzFAi4+~L#6qYcR#!w0eQCkN zTRJ#|8U8slG2+wAZXx~Re=K@b@!{J&FJ;O#D~^7LQck1#K|jKj+hq8k>X%6Zc;YIh zQ0E1X*V0n?OJ~9L^~3K^1tLz4PmgYhsz>1xwFfM_{8EE~Sx%We*;oo-LI7de^ROLT zMEZIfXzgB;&@Ub``T;U6>^~@bzH2MhcMpj>YjY2SU1fL|cQ$vNoJa`M^Y78nu|6f4 zdOmIc3lyi_X^gwmiv@e+Z!UMsfdGL;oz%@N3YXN6GU>xlG=vV0KxQEtqWpMa0Aq^9QU9nhko2|lw~ILoNy`P< z#q$5drv{MuSKy6?uw7CWfHMl#_PW-gI<9vSN?PaQj~beQQZ$f^el7=iAVdUsHrcZmQo6xz6yl#m*e{?+Z0T+H9W2M3>}_|-VM^{g^#X)KjTRtbtZ7Vu;Ch{+5v6v8P*adk`S)G12^u z8ghHserW)lid7~+mGLz~Y%~FB;O0yth;dr>)|UfqmM4o&|!$tgKk<+RrtG?vB1WuR{K}`|)IVqk8lW3?0h}YEt(8d67S3 z_4f?CYkawIKos4|NzEcZ++dBVkq*K}p+JM10%%`=_7ntHVs`+3R2H zTer@b-K)3=4VAz{Jq1y*PoHXG2f4zYE`P%t@aKTNYdsVJqA7COFdYgaK77MxZNRTvZx!Jcj`LOJ0aQuk|_2(%4E3~)JHCIQ@Pct5`dgAmH+{RK;Shk0L*A`z+!EW)!mR=N#UVq{~3GqKa>Y5ucq!1O|y zojUI#c9UVLH69!A%B8fr?|tB(&Lg#7R|}lEQ%0SX#uc)asqZm^i?B~S<040}x_^NF zG!CK!iGNRzRC27xlJiXP8UNYF-yl1OS)Z3D_OBuIa;j$(Z?SOXMt>!IhQ-~ zt#X^!ZTPNe4bt`TDO{_{P*GFEjR{|pRW@&AsEUNxAO0UQJb(^Pb;HTkrC!Da@*#5<4M_`UmE9ijwg&^VohXa1`B?acn z^2p?JT+$Z!toiNp>?Uf}SocK}Rkyq>J__@zU`2n5v|BXTgoL452JT3y`Yzi4e?~h4 zE%7y=djNz}d~^nqk@Og@Hf3j&K9^m$U%gA(@OwC2ErO@S{X^Q1&Uv}b9Tb@6|qBY5@hS;J!{w>5!GEJZXXxxgfeTj;cRFnMBs-mPFJ_R?piiVAi2)xgM{ zenS=It}aS_I9>IBRv_c2|9ZuJlRV4!;X2{PwbnDuv z;9-x3mVWSrvLMDpv66bD$k`9cfsv8k(=pLlP$Gl>-(Qpup`rMnYZv|O(e(&eXMgi%4(U8HPJ#~Cp^BW<$SrkD7@1z8Gk=yd{r~YL5xEAtX=mUnA(U2E1b`Ec(#yw=YmVQrbnsx#LcJZJdK?vFr=0|LkVJ@f@G)4p8$!WDxmZAw9J6-;i>u)Qr$Wl zHtuoW)3>&$6gqz3&Fxtxr0nrKwEeGLp*xS{=;%87{q1iU`ClkL$p*X>Fi4(JIV+6c zaicV#Y@VUaSD2q7bQ&;WQE3akV!uat?$p=DSbezO|Jq1NyK6e>@7?)=V}x@#UZn8G zbRh1B2%ufcaQDSMs3ImaBLL2p-{ew10;SwOl@)#6Cd|$9==<3avDMhgf zBBf!s*3XsGrLk2}sz2`dBU5w%6655lq*2)l8}VLG;u}gHn>5&6Rdmh$!KqrxF{94X ztq`tfwi>Adgr89ZvhnT0P=oA0I|~d{A1%4Ay#JBcbuXcx83qFC948RCLX-9C>tFJK zJ5?uwjudHctLjIc ziH#WW67Ca@OzO=gmYx;ZR)QL+ik*lLayiW+0HEUV1O|stAe!ps5~U3qkjm!m9T5O& z(d_s2$GxTch+lUmH*)Vmee;T+=p|*$P)B`9u1^b#&6+Rv-0zTW!rtEA`U73kmqr5& zi2hVhL1TZueruu8KJMV?s)3&F{OTy76}aQS=p=v5L<&&VzQ=ppenT-y`c}ZGWaV_R zov&#N>Fl=QwUIT2C3T^(5{)PpNf1ULXuUNAwqn5OZ_m z^Le?x+0Mi@j z!!(kicfPwicDr11#kZ{3muToDe=!GO<{p$dsNHNe+)|4(`l`OBueAiYJXRyw^}kBa zD@!>V+*?fP!pGL<=bnX15-xORoo%KZQS z{h;9B_dsyjTIBz@bZmu(2?WFfKxOunwPxHAOFwHgNN)cu3{`P2M}0;V!xNHcZLBQZ z59G49L_TkJuv)P(Mq8i^B_ca|t+~zQ{D#A_0Dyqgt!ts1a-&2of3s+~&{6LzNK^~t zlrX^G_Z|pA8jwQv7f<+Int{1)CmFZ(Z>y5be~7%m4H-R$FUnRW5Jyle9A7k6QT(3k zG2A2Y5eq}sfTG&=;d~PDk54@|00o}gjL@<{fVm!6c_p~PFnOgY==SkqhMtr!{K-eS z#sqo3!B&@16#%V3yP+x9C+4Xk45p~6LxD(xS+#=dIs(+*uXFegduIu{+6C`w zxEJFJv6KP(KnZ|wqz~|Bd&<3@eKF*bur|$D#13Im^iKVG{PXA8fjvIoettNcg7Z}I z9ce>q{=Oo+Qp67&bvbnMk;atYK1=V!AK}57|9ABO*$?3JU8-fX^F{```kQxy*#PGI z2#-Rh1j>GEnLtyJ0U;UO4W;zi+I>|Im_L{~O06f4Z4G_ekUyBOM{ivADCbxl8`3mb zYuB0LdeC#N7{4UjmovM4>^^LKAqnn$FSqrEC>j_;LB!^(k#!Mvz&q)@NAXTE&z?o( zzJokn*x$Ok-})1_fzuo8H)kW`;UO?SKEBh_4A^vq&oG4oHpZSlZu!LmXe2;gh z$u~pOFn)f1{0L7NddvD{?$OM!F3v&&y0MOv*o5_A)j^@p%H`&l-H%(h^joLvaGje8 zs6hVs^+(K)?!3z%;RAsFv-zt3OHpC4iBqZwcCKXh=IM_jF6_P^$>2T>-UKO^ePq|J zg-SH#&XlDsyDZqU2>YS29s(&_BiZsqt;umXCQBS-Cn6^7mnKwBV*2fEw52Ev!AvSqG<%UB_v=<-QC??PML;XQTksk zfN~|?CO&|>4GRC_ZYu=;#i|wY<;Gm90&x>5UfXD39I7hX43_1%nN(A4a}C!nGUp9R z5O7$P8cydbncrBF(XE8N=#=z_Y8H(m@AVDn&RA%Yh?Y(+`2?aZ6$_sxC{;x1Nb1Mpu5nUTks zm9M%06_GlN$K^rANe~_$UX9Z>c%8Leoh^#AY^XoQ{UgNCIYZhk^7Z`c9l&o;)tIZ1 zA}{cc(|l&B(#v*i^SrcMFBEj%nMAuhxizv!!KucI0$|xQDv(*G@xyHex+DMq?bZIR z7Q~~vzG7__xZOTaD>T7L&j?{iT_UbtvTK3y+8|#RjE(OVCP0?*#8#l;nG9hq9~B#; z*S&STi+26lArqJ=bQSad{=sH4o%3mFL@New{r?EdP%b#E^=W{>(ZSXbiT+s1DxJ0Q z=-(deffAyV*nfN+e6;f6{d;O$T-=9^rODwF;6V1Mp_SbI zRn!C!`478p<~gn8z77ti~N z@<9#uQrg;3zM`T+duEG@9RwFq@(-Qbqd8Acu2Oue zJrIc>#H~RyeAS&Hl*lqxJ=C}hs%qA0Hl@_Cr=kJ8?2SLnQZddbf;Ds#KFh74{1~$n z6MJ^B+#Y#%eQLd^^L^MP!HQVfTownUq@seH?ENbg#|UvxQVg5!r@uVAQciPXBE2=Y zj$_X8YR2_In*$OMc#bgGgTd{6+U27g$NdI&F=suIrI+`XnIM=$wl*%EXd(j96w|c;I*=A%{9msbY)Lr z(|ryzQ6N@!OosrkWau-vgyY6a4?!!7AKyY_o$}>YY#Dw1r*tT+;p|;}B#js`WPYP$ zrO?O6hkd8_n>iO~N}6a6RYpt~WgvW{*>Us5QqF*UJU3pd&6Y$|X)MbqBiO-r?WR;J zg2#UO6@QD}lE5}@bkkeO!}EhBU60s?FVfp|?h2Z343y(a-*>gSpF->6i|tw%y*SB_ z&cs~gIol!$B)6CGAP55HUX0M3Na<{Qm02mUWx{bUbGNk3U}#W~+`^XA1|%l?Lv zh&zRYT`T;Zsv=OK(BA(Xj)soAv{!>V2$(1~ILn}!qpN`3Rx*cjSp$uB{4|c7ynF(? z;?KEBuj>=bCB4W!U{7%rg~EWH{46eJ5SciNbue3lP@z+wQ0oTyXs9=evv3nIIhw(Z zp2(n-00~FuKf#0qsE*$j*ZQBe@ZBf@V+a(qNX2` zrb!L0NT~R!Zeg!@t*3|M+PRa(@p-a!&svhiN7|gpm;I$xTMkKKy{zUovQYg9+5LK1 zjfuesA~=~YXPhSI-4sZVC@n35lc5Y2Rw6{OP;OteNePgM zfi4352SJ#6i82Zn7O&0icTmCc$S2u&hyquc1!0uG{UF*vlLOK+5?a1fXE>~v*<-j4 zj0&4Kq|{VmWHkmBJR|KFaiuOe`T6s!rH{D4>8_LozQGSnI7dFS{XN0|1K2MRp$StV zF)=afymwr#aFJutYF25Jn`B>NNg+^iW0p6r4X(kVaa^)DJyKq`K_NgXle(^B1CA7JTq5GZHt+h}$++=?|A< z-(km6ozoP=GaQA}I|x>#Cgf4iXn(Du=(W0F0DfGl@*CUh?-O9Da)v%jA~Z}hEbz@&WTMI+d^~<59+i_ngbpRzP!vHNfs>_lCax!T9b? zF`qOyqH>g7=;wtQHODD~Oy`J;;sQu&TU=*ZrBdIT5_3b4-_ZFV8Mk0BcXX}H?Dg;{ zzf4-ObO=qE6N3f^mIZh}iq7^@U0Aj~>#-USGiMZK3(bGx5L3#ieM7GOPap)7@_Zw)v^;=j%o?r~=G=*QW6;BpL@1l?d(eYr$a&$S0ZrPtTKJi8EhrZz3q)NyJZQb&q@(cNhTLk;-<@lTU&sEcRFm(8$ z#6O5(4GGfvlK=8;uX(gmU`V8%0q>8|TQzJW+VxlF>JzFm4esGRAnBMFWk zEY-8LTevsgHC*;+0GwY!6j=uIPs;)Hn-YVJ{a(M;G#r$`B9N%2$UJJCBUESo@9RGE zulck-1mJ243zt|R7(-;ld`$G>$cGwmj$hg;57N=F114SEdPDic3xK5z)WPXZe*&)j zbQ6P|)qF44!0518bN=BwuBFPF{?K~F+B!AwYeSyzH6c(mFX?IZxTYx_MeuJybj>yZAeZu7taK*`1 zH`yYFYp0!w_f1k?G9h_l!zb3k$@GX%T2)n}Ad058)zhjcHL-D&|SfORAs^kDO#eJlZ2yPt* z+d}z?>@(?)0in$|+Q$-enHvEDZ$QgauARU#3T4r^KRrA1L9sb|InI$Q>2f2SMZ_anuHgkPt9`3Hi>mS2{(BQ3D5_euF+~gr@+|)-7D1#)Lf7e0KM{B6rp!1)n zQXVQZlr?*R&{G*H27x3wC51#@Z{kYMKNNpEIQyYhgI_r_b9;Fh3mAztM|XO)eRcNr zw_N(#}VnI&?AZi!XAn;Z<#qU8bCBX=MCxKI$U^ zB4|IEXc9n%FyWm2x;88FdY2(-hp(GXgFH(+k_S7?)1C<}Xhzn2T*;JS37iVWv_c!Z zLsGN-scGx?Rr57`lwUDU-l(lo#@qJ^8M;Ysg6}4*XYJPbI$R_N;Pp`axg0}i^FFaz zmW!T_DkV|!>vHcFJ{Nv|2p^2|@S6j+Z7ThA?^;l#WMt&mulN!(6khO8Y_ya!Y$B2vnoCAw<%6{T?GD0G{6n@kHuZ=i`GUFdP{dA0NN@PK!A2 z%0)C0qJ=8FFbM3DJM~AG-|wynI2fIMz`}_Fd2ia#2_>%rM%>c}54qrhkEY`8{4iHb zpE$WV4R4RUd&?NSR(^O@>xxDD(e9Y^2=fJeT%iC+c)k6lF3d?7;8d&r*+Bimd z-^Y7iokqJ6I&KxHo1Y!dFU4j%$#VDpC#Tb?axDek$NT$xwpy((r!Uzw*)r%zogUVh zkIv3RLmL}+=*~Z0S{*U#y_gccUeKv?7ozP#X`+Vry*qxQX(G?goR-|Gu3S3p;@-3V67ONsh!^|U9@~XeY#~B*jM|F zD^PZCMX)0(&);SFKP^k3TQGh^ufwaE&If2c(kS?G@ ze7sjT#t9l>tIo2VSg5t|C4#%fmXni0DTt;Ugsw?#IBuK!SFOjEt{Ot$q4|@agv_%IlbR6vL$}}xByYeQCN*Q$V5Snj&hnvn$ zzgANn4WO{RTEyd1q5IGm{%3MCpa2O=2dU@=$|5(kM7E_$^4kq4*w`5oNQ zk$NbMLfFGk2VvZ8C}9Z1j-#`7dHU&Sd_GvN^(9tr0exI{0@O-(lD2)9+HmkB3pBzi zcP9euy&Q_oTrCpdHUeCu1&?3*M&6HKK6;_K%LYL5VpSfHNxhQ;-C6?M8~8!AqI zfP~#91jO#Ci4Yp7lrpPUWfV}bDJ)#A;@oVdJ&Vp?dv+43esakisUZ7&SXgVCLtI`j zDe331vXV^mdoFUgcu=~m=S(0+B4p~wTsq3HoK{R0X5hKcz5T7(fTEYH%mXgu{&n;- ziy?QjcaeT~-FW9I6mN{BD$^keDhroR8%Z&6|Kv8PrcY}wCv5V4un3>pMKTJbu+%%i zuab@!=q&NZLFNy5eGId#xi=@KC`F{le=Z%dl1A)(@npIjdSSiP=zjM*hx<3F9?2Ae zeWUhs0R8~wf%q?g@e>=%5suHufRUB}`|-a~=^Pq7ni_$wltkX{xEb?1siT58 zXph+pX)8g)$cSnyOiWI0K$33is-g23iES-XeHAyksf#`a@lVKkJzM!l!4s{k&>&ht zT8#COj&hw3(EW#1vu0(ORahO3OjkWl-uKe8))cO?=AQV*Kz+~nO*7$sUXGwE?|N+P z#+!BBd-+}E#RdZ2$3>LKp?d#e#U%2ZhQF9(UJzcSSDxDIE5Uz4>~xi3EMS}Sbj*XY zEFG7SMpL-pEB*Wi(#9{m4J_pM&o}#GFq$q-QwI#WC3XZfF4ng%BEGnZEFD~;vVU-heK-c~X<023Ugmwpas7~%Mu@MHp667JwoMI9t z2;)@Ahc`jKO&b5ni1pSp@xOD}%jZy?_N6ZlBs0r^Ax=rJQBy1d zoABUWFCP6*6&Pu&-?O^i+!PLX4Z@mzzt|(VArpw#_jbaH6Y<{wYuTka0&d-tXJeD+ zW#z8GdsF^I$WjoPTs92~3*@q{!GsgYWN860yXUiP53u))QAT$w&3ALhB9|qDT!^$?`!g%}8#rtA97sl6^r%!RH*;FNTtC>l|S_3a;;jQyHH!+%2`( zh(c+aFBqI)m!&0?IF!z_^clk?2uGC+4wf!YUIG**p@4!-uJN?dZYcujRVuUq+CK6p zzASD=vuI|WTLlR&c?MK;>b5(yyqkXCS^7{$AYb`;QXK)RktcNqn9ZtPND+LF3jz+nM>s^p zYbZ|f0W>i``h^q|HF1&WCq?s!qXLT;AJ!^L5 zdCn*!5}jAl@{PBYPX3(2ejC!-FMV#0GUf$W+HuFxYF6_M$h1F1;U`O_-YWi#Y>Y^R zEMrc8AAh}%+^@BA?EJ+w019pZys?VG3>v0t!FEH%E{DtdR-qnONAH;<vnaM-^b{?@G6k; z?~$jfwwdEp%;Ljov|pKyo&Y|5iRxQSnv`Fx<571tGMqY{hN+^`V3Cbwo%zTQpuzFO zowenq88Zk!yY!DN@+-?=mPXq9d1&h&RaOGpI8UH~{mC05Ve}UlXoNmQ-X8h;V;IhRuH_T; z3@F;nC)(x%0QbgJ?LG(?eYX|wN`of6xK8PuO(7KZb?S?th=)t|H7cs+5-IKxz!35K3W$qaPwFh;aU2OjJYlVM{*Yr?uIyB z)VBjdm`HIW)(C)zZ$;uao*>F!0DiA(HVqY_UG=IWVAo^vX6kf5Ek2D_8-NF5hP?TF z6l&R#wz49?9y^XeWu&D1YB`)5luV7H!z=FK`}`4*t3A%G!W2ko66GCxi1}~U>2Lj$C>5#!ItcIgPz?z0g(B<=6pTPura7eh1_M8W% zN`8H>F%ev&P%D`v$|_<7|dEah}SAb`AuWb z&a^N^H0%YybCVXjZKi5)aajFW6ElBqRXJatp_~};=~G-{x_{Q^7eIwf!>DlgJ)uLE z$9qIV*=73vu&&O3&*smc#m5M;8xdseN3oT};;dW4XKrDSsU649gbp50kB*W$HvX7? z4K!fXH9fU-+Bh#iV%K@WpO8f6CI>s%P43(LL$yAsh6t%dk}n98^$@vb=^ewFf#GJ=aY&Sug6yW5oS9caw}v5;D*` zLkG=!od<4IZ`z- z2+8<4kzY&#Gie0b@ar`XwUkO!ohva1J|xX2B$)4Xlh|D^!d@>0|fn*BV`p%M4E#v zb>&Y+9cy!bG8Vfx`EtAH(Q)QBAPA7o-L06fteUBM+P-W_K!QD%Jt`LJqwF8EbjY`T1-{;#hb%duHns`W+0TsJxg-1zPpwPPL}#(wWIXQ z(w{`Zl)le<1B@}%ABM6)>6c(jNFwG{_>LnE`OKl;z zA&C=uQiWApQf6WDn-Z*%u_+!jHL?3uOU2F24Mdk>FxKXcAR-+pdUc3gmB_w*ANmN$ z0hwCmiC4Zry81W8LoVUClL1mn+&k`^{-pJ?tN@^mUfd07s7=yY2M@+Z5mQDc+Fz=l z1U?vq_n_Ec>qdUDjs`?=4Nl7G3GY4JfeSX04dNbE9IDHrdEMu@{%9Mq7|tbBJPOsx z03~yvtwm~`S-Jqd`*Camcq1<_agm%D!U3+bjfgnXL^FmmkLwy!(Jw*IlJicmP-TCn z=;PcC-;cht5|X{;7MorYEN!LM#BhF2Ubd;S`XLu3C`vcTm>jtjru%&;Y*vQnSO#E^ zixiwjz5W2L{F!0CW`Igfj|Xe<+MZ90?g7YZQe#id7D!MU>T?!EYCtk%qPV2Ny+jU9U5n`ueh}R_^Joq(HGX5pc3$i3GB2-4 zBUjlfJmH+3V$Kv*nSB3@zjdZG7kmWO$1kpkUJ=O>H5NBirAjK&raCl#u8uST`WfGO zT3VY^ujY+wAq@^!CG8NVw=B%6Ys?$57JOiASl(TFysiAQ^gK=deZ2keOsh}SE7w3f zq$EUMWBui2tjG-tz%^-TRM93gD}%{v$Zhl(HGHgvITBbpmpC~7urYBubt{t}@O)Ty_S%{ubW> z7;XO2>g)^b%^OXI6(wk=_(=$U0ZY_u1)l84=Q6B4$mYA|5foM2aNKu5q3izxhi+;k z%<@A)Av>q#fHY4q&JTL{q@U2!FnZ6Z6uay4bE(do7hpW)@{T3nwb*DrE(;YkZ;$&a*8r@CIaOHv z5lCWfH&5RnW``|gY@lO#Sx&mdp|*_MrHlD)<Nz}x2vyuknE~ak-BTssol9t z_1bLcWm&?vB861F{w<+bW(lxNRoEIzBEi1UXA;csy~xf}XyzJ!6EB zaW}(}=3YGUxyc*d^E$IqM88b}rMMW0q`hMn`Acto)c99{II1uGd6(TJtsZ@T2efo@ zy>#xDOIxK&=CX}>LHPZt_f!vXO1x-|F80z}P!*hakD#f^{t=?6%tsr|>{sK&i+}KL zRedvkhGv;?-qIXQhDWlG0d%(pYCP6p9t+ROxZ0|cC&y9*j1v%2aZRqU8+NooJmOjU zh_v$67T*w*lE6D1ngPE9cnnpgl0y79Am_K;uX3L#NfLiY%J!Ju&I;M}My*1lC3D#I zC-Vc8#jAbY$(2gG_XkgXhVif2WKou4|7rnBTaTeu9W4O`-**XVk5j8uOW#{3k@847 zB$A7RN4R@xpzBSmn6+Y|w6E28>+zTxG@}GTV9}>obXWds-O0?$JvKFU}`!nR;$q_n^sso z3wq*GP5W)#YmUrtv)_+RmC(O@#W$divYqtmFFIy()$4AI9Zh%SV1zpxSQ_GbOm zCvFQaW@LY9H6%znrQv2=omyq(@DoM57L`4{0p-1rg-6>p;|G~iO5b%5zyKiT7WCo> zT&wq*7$5dBt98hCEUC|cOpopa9~K5gSDGgzC;d0nh)w?t!fJ}^u5O~ zQ7K=LpJOm#%YyQO*7uFj<6VEgCo?3(Aj`Dnf^U4dCw_z1l6Me7^oLdZt2zI)a@%qL zB_Dq?GRb46-9DtXFW0BF)2X#{^yWkBpDo*ya5Y;T)w1Wc35jG9;Oub1l^s`xo1twA zPE!<@y;*;tE6vO}IhgR0u}t|QbV`s2PGt1Ak)L+k26j{3qe>Rg9z5si{nq%-a%!*j zdWL~HaN#I+0k%yi4Y`S6kK|s?E`u3VunLN_aic*hLWf%$ou$FjpmIQ!Eh~^Z6bmpl zz&!OD@P7|_1`}_XDf{WBoM#HvUs)vVyqwi8!^3Ivw84tO@F2tK)C*FHUxjIZ;sv}8 z11V(_m$aWg28beGn~UrVK&A~akNV2J*&hT<@9I!F6YZ#-#u;^ZQ}-Pu5uam{q1;sC zkdl^@9^x|ssr7Sa{rhp8n5vGo@5}d;{!u*&N2u(6kX>#2_#Jv2RkEY#rbgc+A5DJu67eUZ}Dac(xnX&gif#uOX4~qq9 zmq%9f#1@iu_2#kkHGGETFCeq0+Fcm7IDs4+=B(oWCX9xVfXyeWN)vl_Lg?9?*n93!b;E{}N74V#k__QmbZB@Oz)oXc!?@W9g>=fJ8)^K~lqF&Ke-h{opNGOzpL za#bboLMs$UwK-+gBlav@Onz7#F=$GQB7o!W#@#8e=A_pL_fWR|Z-tCD@iW93U%t=f7(Hy0KaWeT)}ez*zFCZ~Kk zZ}{X@(H~{e!~lQnDEn(ih4r^#KFfF&L*7sp##57rc%~}vL9^esB->+`e(}aW(+RY@ zJV%tYb%IuDs~v1Sh9NaTw7%dFv6YoEjoj#RALTbx@37gG%QW4Ab&xF@9A!s%2h*ii-YcV-^<}f^s2>`8tufVJsw513b zE$R(GLZ{d{l5$omQO;%=B;-9fTxzvQ1Fk+f@IN4Uv3u{z&bI+&hy%d6*{pOdsp4tO zirs9t19gX-!1no%AF5^TRtpWb;)m)LkYULvuuY1Ghaemra{QZ;=i|Ub&PgXe#ei7TP&_>--v&xj1zcWpef0tDVq1W@&{ilT zmTX<~yfVP6pognIq&C(c62kG?CzzkFg;&G3l!(bEer-)zOP$AL`gJbp>)PniA9J(N zF2`6uBJT&Ev9a6i{Yovas}(L)FEcd1%-!-(>oP{}dl4>_aqCc@&hVYvpBQ&yvea-ZI00pkN}9da>Md=AYM*MlSq7Ia1(daB5hfF$q!;}JWJd^ovQ%o* ziLtSMF_e-rMY15{tywX1zkT1JsFgl3iu^&l)3L z?MCe5diIL!t=bx58y&C=6B2;`Lifk2p&Y!vw0NM}Ye|e0RhlAg{gkKrDCuJODsZY` zr1%1$c`kx)_#EfgQg6|K)~h$LoqHvw&bu?_020JwXnn}D;F12*+OQU!c81bv>V=xM z99z6QSzVpmy7#l*MGMDj0S&Y!+yfvyM$P)Kk*@)Nl-e`D?k=Gpf+d}|&yXRQmkTSV znZ%?;vBM^=?AolK#&`kDE;_-&VBq5BeRytFDRUxM?7^GdR`5*$-7|y3D)pSdy&V0u zrKSzvH_)~BU1zLnI9}3daI$1P)C&154ehSSrCA?^=p~nuMex zQHi3QgTNn{9_|1qIEI*~=WzfIt?QZT4ttY9{9F(Hpwpj3eor)HWY_i8_!DtP!X)q? zsilg!G#K96!y_Qb;OF!U0MsrL@wkT`7cV1$LC@nv{58K@ymWCw!;X`SvN9IJi&s7g zj2beWL^p<@L~+FAYCB|4U%G%FoV1QG!rl9BP=#_lf}dTESvqV4_kK({+TnnyJcBzp zfU-UJ2;@L`SE*`3i>v9ODf^;AAVB7oW-$=idR6D8nA+1M1p|rfSLNV2gQ@Tv) z3DkFq*C(54Hmgwm6Bq$=45Z9j@4xjy!46m?$YNW-Ll|$a(279-``$peDvaC&o^SyB zWtL-uJ0s?Wgg#ZSyJlG&)*U(Q;0fn6Y#F{>oq#zz-P%mn=tbq*&>tZs$Mr2gj*|Ug zWT*a)a_6_aYGxH4yan6eA7z&5?i501Aw|)G8L|^YT`8(Wl+s$`=mOWzc6N4-FD`nf ztBkqREGQHCA}}ivU}jfG8@#Y4bkKj85=KqDgYENvdMzNV#@2e_?etUglQ`o-WhjOy_frl`hs1 zn+uPN7tec1q^GBcgoMsDGZv6dzQ# zhY%(nz`0lHcpc8aa9d&=ie;fU# zSlrbh(JqjUue;X>mzWdh%&-ole;#Z6k^+D}VwTcA3V*QhX6W7*0T`zfSh7GKFF|xm z*n9-yzCb64NOF-|VSEveqZ+^%0zt5pv69;Pj#mIxWP|%IuPI;ECu=wLZvzNqLJm)5DTk zhn1BybP|EKtB~A+K2NWd3}k>J0JL0dz}aV?$s})4RNjrDIwbjTJ7Radmj?DtKoBkD zh}6w4ni6Txzk=IE$Y7^^=Q|*Rn>VcCwV>csBk2OhaWQZFeJMjsFUUsd!{|xY&a!?? z$eRh%mvCE*(}ieaDN$3<2j^3}jb`wgnSYbfQjb}|b)!#{L66a;XmEf;ysys*1LV)J zM4w{+Oz%fm`n_Q)HMQy5vT*6*y0xy!9aQjxZbJ*IINL{eLwfz&M0fLQ`Ww8EQ|c>Z zbu+7vs4005NUB-sDO2fVlrTc#tFtndC*uIKO|rrV_V|1N5uKu`t?l^ul*L5ib7_*d zSIDu9*!0xs`W1vzKSgop0a}Eh7BLJ^#qOy(o9yN!vmfCu>K~*q5SeR!+9(z$#t)l9>d`cMbQ+L3$^xh9f_WZ0{T^0~4cf zfR$A8OE(?MSG70-g7gN(U z9=@U}DktKto4-NgyW6j6@VI#&r@9p+!i)D#dP1IQ8tZ`)#Em5u)7WAt{VGyC8>GDr zZWj!fhYNd};tVoda>W@2Xa6BCL+{vRh&D_j>Pv8K`w1JzvHN#`X-R=;oHi6ZJld=r zGhaa0(j9aC2Kv^7u=!HEt>X^iS*=)EUS}mgBh~End%}p*WS<_6e9b*B*7TDFZJ!Bf z-cX7RCBADZ2v}iF@(ilSe`rUY%+eq3Si@YA39ggsz0i@~=3EiAeA@DAKjawRHJWJA zz*KE8C_jU@Zq0P>G~Ch^{syuQi#q$#u-K(c?cGz8=M!BBTz4y0MfG1$3(GmN&L%z_fr5E#w+U{m#)kd+8^2&bFdF_HEFL8pZPBJwPSrbm0GxOB{`%+(ABRYQWUzxJjIsV9TH%Ik?H7bB zE?q}(tk*}>>VFRU>j96S=5DZkO1<%`CwB!ZzR(kTD90uDK4 z5hVtV1@9>A4$h9V%LZybH|rYC8P zXd_{MyBiJh*Ry7_$;*p$K4PL(D>FRSVJOUv1;Ecyb3Q{e2g90R?53X$}~%2a>Q$V_USB*)Nb=3nop^E*Ah zi64=wJw7BiDIaaq7O$^;`XPhJ95Jdfo*ZqySw!l+FKGIBZmC%mp$`f9~2Fhz$G{j`iS-Bd=(n%3usxbH_%%!LT zDG;~x2k8%SBZ0~nuxoM*--JQa?QAUKWUjnxo5yZM6h9-lGOVU@wY2CX$pCr!h`tP) zJI%!FsvjJ27U@=apE|o^2O}W)nY(%VFGbc)&^QAn~+`8$kX~D*#Ssz2C*j>m8$}0Nmw&|5yD?afX`LD zBWquTrw419D(p`Vn+K#e$+A0fw)JI?1zCcEnQRaXOk{qnM{HUu$> zA<;793b(h*Pb9VXFw*gD7+Me;bAgx{M2NauMC^+zMCmmuyOa;cA*5IX7cB}*dEVzs zSM4%Se629dqljxQ%Nc@-6xCSLUi}=gu34H}CZC?qX!Q?_#NQ7M48}^8i+x3V+J3k* zNy-c+9qp_)aix_AQu{&-HokTg##|rB3YsLq*C_8|OvtvlJXP-oSk^gch2`uqN`>$T zH1}Of!Lb<0!dB;%e&Vrv|2{e{fjovcWc|}80WvSKXQU=t)J6+Xd}$`u8B!fRleJ|9bIYf zOWKYhzlxbXFZ?A11Of5yCooP%@jXHo4%sIMkLlrT>37>`AD<3%Oy*_ctMfik9NBm` zkBL&TuLiLmzv)R)bq;N=ZF?_Wi^szGY81a&CIgczxL6O8AeO( zqMJomI0VzIc1xIJgO!;L4^t^y1?YU|=-|Q&nASK|!0DS!*_><*Z4w>eRp0qU03^AX z1N)Zy)~nx|ma+mtg4!C8`tbD``2P|=V+e!tXeBz7;m)O$=_wgVT&EaSv?f?sH4J{P z3;+EJBt_+fQzViTs1#|Nq6-%+$BgyU)_rqH^yx3 z7uSLt;XjK!_h5}-;-mzglmS_k{m^$k!}w>fkrv}4)$w=BqVx_s8Z}ngzbk=?$mRTR zo-5szbRiY0j;-8k`tAYx`-G$M*1Msb)%dRLPd~#uD4|ao3^7-X@%sx;Pf^P3WEq(< z)YjF*IK|?<`V2_;u5MQPW!n5b%ffOdcOQ@nmHRwjn<!jyG(a>O)^L|M*K- zH>x7A0|U-Mp7$;u^4_sou<)yJR~`f5X#HJnjR3KsG|)cm+o-%?*EozxB|PpzN*48z zW~hje#8^J?(!&Y&aYNb;ffNU&?wPO7Jw){~oT*a)(h&-~`h6Je1W}XxyBXyPXrj%t zUK$NuP2Z<~scwm{^lt9SFwhMmX1)m`9D0)Q)&6ka`fQrF8Coged+??FTl+icU!-2z zS;JHpe~|L@n}hu`7;|p_64PlG6itfuUvgvmZe_Z^#DDv78B0|@+;>wIXm@~DmXMjs zziv_=bp5KXd31QGUJT>nf)aB-A|zOb}h0q_U^wprlk< zu+=XJG*)oq#wFL>nIGYDZaGCZ2=){qT8N&^&n`#af`2^=Px?=CD$yYT{VOPI(u`Hw zlTC!aAor`~@mk^iB_Yn|ll1>ABsh8UaQY?1ps83AV+aUU;1}^rTs#S|NV5woE0FY7 zeOJi-tCN*$KJ@lh@7aEaE)s?`zxN~GOA>&ef#Snlan(|^1cF4I^1z|3gJ5B-sP zzg}Cjfc4}%dJ*+sE`aDSlvgm%VVg}kBocawl^~Yv65?h^^Kx9iaWR75ch;pcTup)E zIqFFKeA}t;`W6eWcIR`}yUemL;EB6DEp^;|a}RYZy*003bTEP+3<=+ti<6h$$kxei zaT7|AIc-fH)2m|}W-LusChVp;aawTZ^f`(3Pk%$Qo|5%^u9-Uog5ty{k)A*(H?i3-VtXVklhuVc2g-2%zVIWKA<)`(cJrOSGvjX6%Vjl ze%6@V<3-pb8N7XF%8o>U$PIQmTU5u1V+Hxzt+c8Qzv5Y4wQnN%*ZZr{6>L@b3HP@@ zEd7WgWOy2|tK#&8ZdQ)IYxcoduX8tBya79)O#ByGFDML&;8JwQU&A_Zus2wK3gFnB z8%aftOhD)nw-z(%q!$RYK&a=vd+IK#*Atl`KgxF5`l+5X)U|*NzWE`J6{_dO$%!y< ztZQj$VM_nm1-(4=;FN9jJ0E*8l(N6R>rA~^FY|VXaqRS%U5$D$BR*V|5qpRc?5I$r zr`7!KnNWZA7&&HhC7R-^qL?$pILn#*#(@yLUj{;}6E3!NtMd`bcA}slv><&8`{Tmf zYYLxErB&O$t9Q2P+ez_P%0D8aJLyC!Io|wv z_zi5$tI&G!#lKEZ>jQD1z6`)aH-d|o^m(hIdwsw-RUo1m9^<3gXzR56VX)TN!ou@}XAk@komo-+b?lVUgFS>BJdQV8y%`fW319V0kZ$?eK+n*R@+TJNs z6a&7`CdT%BBkM>e{}9l1hWt|xEXyN7ImMq4MJ%$ctD%)*_qXM@XJ*1?4y#ogA+7+*we=iYc$Ht=Vq>CTBFWaOSEPuVv-~sk%KE+vb1SheWOekV z7@%mqqX_rWf+OUx3tPvo(xR_B zF3x?m*Je-Y>TZmSJCF9g&63apTGcGeZ+NE0$2&JFrmVnaGdJlO50DbuBYmYwnChhj z*W3okvA0Qmb3J#vx;RNK%C9w^Mi|yt77C>RLNBq)NqW0!Cx&L>w~mdd=z7ZlSL>=o z{^l0n-t&&&DN#71Ugyq*+-4>3Ib6>3MYp6eZ01#=yZ6U~q`~RuY$j$9=@j#S zDL2uCz&-r8)E~8al|&;A=NE_#mup*er($M+rPPInX^`{=x|Q;zPPo9GZ2;#G&;eAn zU)QdVes5>F^PCRamP2#}!u&HBIx8IL8057hx0S4vtY%(*)M|(0e4O^FuJ|RC;)P9Y z<`YS!KX(s5!kh-^@P>tL4ndK8#v1X^kp4G#SBS*sY7cO6V4?XuP@GH6lp=V~`vDS5 z?+`2;REoBSQS^*PC+0G`w`c|gYmsbJqk1Sw_ZV;r5J#asVQ zq-5~c49t-r%7>}6(7l3rp!{mOC`Dy`gXP%94+BWN=SP*DBr?Moiw`K`I*uxu)sH>8 z3+DvokH-W+LlcNnF*4QOwf`OT?WAi7cpl`bVpC&dVQ&YaZSiev-)nTeu8T7L+}qRk zW&NsDfeeRi4ImE5I3<8m0JHHtL-S?LG&sF|{Q$?iGa3HYA+}W+4n%6Rk@TM{T|u}p z1@PoR+B!n_+X?Dn{@;e%kMNEe^uD#*iaiVtGfI?kbI2zZ_Rn*fn=pmfy~;T5b?r0< zW7SF;9A4qw`jced6M*Te5B|*z2Q5fTCI(;(F2EJlfyDJ3N>=kFYOj#o)?viZY|mqr z`}#S$e`q}in3mg!mwq)Dq|M)%*BM)I|nU5uYq z2@Jn^_ASlHK(SQJKq2fN#3dsfcPF0&R@du|i!fW`K7?xxB*~tTp;s6Z9Zax*Xu`L; zy6V!LHP!!w3C*$4!hIewx!N7DtnVKv2hm)KzNg58^;yd4@ zi8tI_(X2D7Ab*b&`18M3|NP=Y+|KTOmh^;8@C>WOGcjeGgIj_;wZ;cM$By1 zqJ%~5p9hL%-4TKM+RcjE?l&@tqTBJf98$~lhkoF?bq@J~>jjDiSSFG|qz1qUUGV0$ z;|13pRu9i# z;M$^B=8J?Xa7W&FJ+Hqy6bgAOs?;)OFy+`SohT`A=wE!s`Tcn9Aj8CWuekMxsetv- z-E+Xl0Cz)D`5uza;a6}8@Vqus@A%gjzQoAJQgwcLC>FA%ZyIYM>f*$CB2pVwm#f${ z$??nSh#p^KR2(o1j~Ot62ah~977Z$|8YzURE`TK-m)&}>b@VDvQ4=yXZzp(Mq{#BV zABz;vDRZcxAPyk7Y}2vd#;3*kFGTR?Ng(-NXm5{6BDy)+PFPZj9|RUu2p0ePxF__1 zX%?F2?(Skb_&l^M96eLiqN2=Zfm_{*=w2{(SM(c!IBl1(?ESEk@4Xn}y8eg+aJYoq z(KBOWSbX&n5xa8%7Z*3+U%sTxnIhSY3k#({6sZ(&#qFvqjdp3ZarKn=LZwLHbW?C| zK9jj13|r=423I0H|F0HL;=3&RZx&+8L_d@jtKEZ}j&EL2W%0c*F{88`LAd&w+WG?; z>N$SqA4!9>ky!sS5|a#0zI}m|z92IDR|h@aY&ELC5jqM!HJ?ohd3r+aM2!uff9fnn|-`C+E9o;!ovFi&9=dC z!l0IjzYmLFBQf8WvagKoNb%!`mBu$0-abaX-A7J4G>3jnLc_$-e;*S8GninBplHiT z(H89Pa2FAOJc}vF4^&&O`I`KAc&2ekgcI6C;is)61#zeiK#TqJ71TQOaTyL-RB+o& zh~P{k{5yfF63f%e`PtzH)AJGifH^rhtE;2CJM-%Gjt;3|O}pqy-)v(Yt9<1nlrhJv_s1XS7Tw3;0Zy6|AJ%CYEi4}dz7bIAkSfg^$RKS_6A;13~-PI^`SOT>Fd)ro?* zm#4eES%Ok#ZY96&Q^RiNd{}DNha0@0Jc8WW)r0@NVw(UAmX-#epsXW2=sj$Cpb%g> zH2@)+3RQ zn>sj30{+3A0ReDD+3k8HZ#QlJpJm0zXTFro6T*W#bZobb$eCU+Zw;!Pwbe;6MEY1Qyk&YX$tO)L{n%*mP+}gk8cZfZh2nbJrev>le?xw_(E_`uEW4O~?k*+Zio-5&qXQGdBvJwW z9$!pmnE!nbJK<%aplY82S_&_oJ}#}0j*JIy3MV5@)yy`OZ)spJB^#S~w9+!*03`l>4ZR^fBg)^Fl zJGc1wf;Loq52(wRnfgk5Ll3vk$^1VEpvP0RU?~I~Pa>Vma7+xO{m+|0s~HHCCY?qI zJu+~G4pV>h9F>fF+WYluGZSiDuT!|YR&*+;S0urzkKOo28j0Y@D6#HT#&yJ@lmXg86!%0TvCdZma4p5g&HD}*Kv9}4tvJ{1%g zD%g9A-MiqmQ4j9)0DGC>G?rI4gQ{YyqhA!w9*`%_t0|^LvjK}aI5Kimu6Xa;h%Fwd zde$mG4L~W1!IrCU7WSDnD;m}1?C5!&I8TMT6H$-fsL$r@Px@6AED<9;ofPT+Q`rJnJ8`g>WApBt@Fq-cVgS#nu=e6vzCHj+zY&jyvAA1slOhs_en&!0cH zCra=EU{BLnYdTB_aAAW-5aGiC>YnW23|Jw6yMegqwpSLk*bXYB&C#qVklawx(Mh=- z0e6mAIYMA@rGm5o3^my4?*PjnRohuRXzEnq9^M4q0f1C1Z zt*kw{e{u1`Wu-3S-*oM+oN|UK+KdtKQk|%_LOV7`m~}q|XAiRgIST*~m232~qC)bQ zi=J1y0fB*p!Jnf@_$y5YutLr;wO$}2vtS4{(y~>6_l{~Yvv?Vi0ftqADG8*X`C=wP zyU+-j0Ucjmc?Yb^r*WY9BcQnjo4gvu>`v;h{dfr63|KsG9i(YIbz5Aj#1hXa7OqAl z*1lftnq#GuwfZy$i>nfU-@X4pcdyACq-AOSVNh^-y{_%GX>x|2!iR$hSa!k{V8=F} zI3>??A&?snhrCD~v`CFJ^nmk`>H*~}3s9o`N@iJ$v2s=EgO?+wd}kJP!UCJx3^buT zTrm6&IN7den}KUEn~|8mrx}To*7gxAzW))tav*Y-E2rr)`*FT8D+vgjH#<~3pbO&ZJ)oA&gg6LMtV4+AzhyX9taX7fFOYl zms#VzseYP4{O%*e;0v$o^lD{+WNqioNeOGx-uP-sX>Qu3{Ya6h+8%(gDr^I*F(;7^QFYBP2%5I zCq|FY*WKAu7TF%X>>kz?%zd03(ngAto&B2aNdQ-S5Q0+Cc7$;UtdHzj9NA+Dd8>#* z*^Yv1Q2W0BtO$AeGwRze^!VNe=jQ1BqZQz7iou0Hxolnb&Et@>OHvKw&0F86HGQ)I z`RWLsZ2jHFj6rqZIJdalLW9M#RtYQmqaMlJMRZ&F@W?ME0@IBYDC};8AosQnrp%vA zYDD!YuUjC!bHm56n!r6dlgRmb#7XQ&iaG&BTrMyr#alsoFTP@8C@&@?e?6GQ%xu-g ztlxG|9%eV>w0YUL^xBtQjbSj=7_L`K+prXT9q)bIf(1ynv& z9O&(eXfVJwXE40tAlk^Q_~dr*P5x$oiRcRzA@g4WBS>CNw5y9meu3WeNt`~KRUw<`hDVBQbr@J)^5=Z=3j6oW88R?^-h#cU39VWUSrzly@97JH{yPRA#nlo+h|J7npHns2_IWw!I z=W5SkfO)b|662Z0prP*^UpE4@Q}8TxvEbOL9eM>c^1|ND;fOw3puLu224472o862ak&;%6Wn%WIHM7Gm-=OJ#q#c=6qvYV z=6=bw@vt3~Sau<#T&4g`9VHsuD-T72QmQ6zIB-+mfBB#SB3 zgaYp(D^Ye!-SO-`pZ(Y49~*VL)Gfw-VCT+eI~*ZrSA4kSxSk^Km^%ykrxn zen7VT?(oEk$zO~{_>lGzDD&OBXV|CaXKY+0IZtY=_huZMUpSl%0La_}rt%IH)&_(y zwNeZZD@6QmYO!Q2?gY{^l}S)>@3Sca;b6|e$o@Vu*21)^6v%s5bR z$>;%+9Uho=6s?DCyo10paInx^&nD5Yij_a-?$tFgzz@L^!tG^JRQ{ne!1Fj5_fLJ= z#-CZRTVAnv7v8G?UW_%Ol zbpX3-9Xvet1Y9ftVbGgyCLY(0(gYiM6<9P`Y9pU6?LNiRvIfiS^6+Vbs^D7H#u2?!mHodPRM=wTgo=p zEo;KfFu}#>N(`XrjP^#?GnzP6JtFG}A8IN!uOh!kp9J8F1bnW{7h4*|v_E8E$D64X z$;PKcuo^M6RAJiw&H&*4v2(}yma^eMmX6ahU#D-HWGm|OOF(#cSnzagbipeXGvy5e z#Tap1Ke5U4Ih%HIbMtM{GOGK+AQ;*ZgAqmxxY4!Swp$_44mU!I`|pN*XW zzvtV0Ha9W$7!SLw!P9mydN-&HbPH}uiSx7d^*;kI)K6Z3@Q&~wvnmA2uk9Uz4?p#R zp@y7pdJa<(J5|Cv>ezR{x5#1VZ%;HoD@~DIN@Q@?us6rrey1&x^_a@6-9{e=ocs%$ zi17fG!mG6zKKNJO%Q0D!!v~$%5kN2tTSd90jdU~{7$TZieT3+x(P&2cI7tGGvAN1N^%H1Lux)Eq1!80i zel$YO8o3NP^Zhg!A5-@+0@ZV?c0r*WIhL#r7f{Sk`<>dkNQA`v>+ZzW)#=U4ZS=ze zM$Kee$A(^{=EQ5($Ju*yt4Q$^`3Dbgot-ZvLqcoCs&Gj)yPIO8fJ^u zisQ~N`Z8|qAP1_BaTcE}uUlkxi}C11?7bNm65=;i5M}X9d2JRlV6PDn{($kvcsBRY zx$5|>L%SP-V7Lzph*!BeV8}PUg8?{!06 z{;`ol*I;08HJ&VEHCENYAlpGYAGmd4%^(453lrYk)$xfV!JivwLm20(&QRmfG|6eF zD}`_m!mHIt^3|;{R}>aU2eHyOgQa2Y2B55iBO*lygK?llLo>AqL6aCJ75wsaTU!Re z-G#Q8S&VtIFPn^y>6MujUbyjWoKuG4WY9|)u2`y;@6cnX(x{qIT3W4N^2yR9j z-?e#3yW6FOmtwh?3ff0$Xm#fetvd`Gy3=B$(zM6NvYU9d_;Htz8_o2k#x?AC*BApA%DQl8> z7`v%L^Sz_Gc(-fQY?1biGt&{Gp$o0k{}}V;Y??JM>*S`i<(zHr0QIkK;q)1->@2>Y(G~4I&h&j zW%AJA(Gtt_W_Iv;stR{Lc_<+H}hhyIlZ$XJ~@{0MxZrLZ}M~kURp$!%mPa zCg7=?B7Rrq&2mKCj?!lF{i2!(d`IkB#lbLojRHQ4j6Uk5wS|AYWWoRcs)$5TQKkij zf%FuyZ11#14c3TiA(Kgp7FWwrWpAY6pDpw;kjHnp4D&GjzG5xXr&OmX(plCh52Yw> z`0PjV&O!!oT0eXfCF%vdUaM~3GR2oLH;Jw3e2V(^Zo$8ac^sr}DL?Ej&A)QpRFPNS z;Gg_Jj2?}zjt_~gvky5(@e^_i2L?4zw;*jz6hF}l6)^QwA*vrIgd=JFd8>pS`fDz- z2ucy-LvHpm=D!u0l0f66*m5>B=(}$k3+y95T7&@-TXD+=`W_2F5;31BP8c@~M>z~@ z2yqEv2Nujsa;a?6B5_D>dsMsAc@$H0n2lZxV=s)Zzj59m{;wb1p5a6n#vqk`qTc3_zWtV(wt7>FAqHcxA$2Gx_M?jD*zf?L{b!MfF)ZgF$@jyFvhb5mjxJwe2`o^J(& zFttXkT4!Ox#8pUXiUff@bF%oEOY|N2?;10OqFmCYL+AGCw2S0AFu>-)5kyNGhajKn zTg$n+vU5atAmEFQikHq4+&>p+B_SrZx?ZzJmd2ff7=`IA3aFXbm(sm|XZl|*0CiEX zN&I^9;9r#YCbl#eWqMNWUdTVKK)zqoQS{_-n{Wn#^v}{)p&DSk*tr)-O@P;|44BFF z9q20l$HuyTCSvpzZz#lqJkHGyv>`Lvk-cz91PF~4KDv~*0p3Y*g-bOjG;4*0p+G(V z%~8l#@>x!qeoowY8~qyiRzboO(g8211v7@2#VEibF{!be`$h?-ipbES8CX7T z#(v0uZycY3Tm8XmELM;$FcR@s2Jcj~>zCizz60T9uVdp>^h7oz7?E-SF?zw~Y!vk( zt03H=I}xttz4;qOr?e52u^2RM1(5h;i}~E|(|bx`efiQ8iu?CAw{P63z45|m$f^B0 zn`W|g*g%t8$wWB>5;(jB=MB^i6x#w_0FqKDol%kKu)>VC3Bg7(8qzlrh+tO!GkHVm zeeVk#tNlk{{=(A`iq0_G{`pp&2ijPPQs0YE-}NRb$`MmfH`wM%$I93b>!!!H%j26g zIqVoUa8V<1+?XG(j)P=4#+6a2X>XQy9NrvUwAvml(Sbc=pS z+YGUxJtr{F82w}rGX*Y%=t%tx0ngc-lk#`Lyz(;y)NNd!{s};S18J0=bzpefl;RI@ z$#_!F_9hs?NlKxIt(sWt?~73L8+roQnsT-Pqan+7#!~W_l)Wq z#K6_ISkyYM6JOs06A_)nB-R4kjVGgf?tur5sksN~nX=U&a8JVdyogENgk~mZDYpD| zG!-lI!YjB&$?r~HB^F{g2IpBpNl~QAm^^a6x3MButVc261&uhXNQy~W`{$}S*0V6w z`meq>csOIeny4WETk#atdgc?GbKP{kAf#tE!o5Wn`+_W(BGl*^9F?quu&U4i(rFA8 zYG^PLEQJxQuV8R?Yz&y@ML>0M=&UDPHq6y5mwA|}lg`h|JEnHASmBn!i@V61u>7+~ z(Qu_a%a-E8Kah^ig(G%@@z5IEc8c}0U*9&9(#ge?K2(ZCr~HORn=KYj$_K86s`73j z$*0*ly2;MU#I>v@sqCBe#fJ(!n4F^=fM}5j|K73EmF`%c_GOtU@>1yAOjx3xPY^47 zho^9R($9j=VD(uO3tr$B;ZDFj7}np6vJsymK^ptxGwT&uDu!N=epZ6p4AuQ4dnaA` z!j7(S{)k#gofZZgdwQXj1cNAS9QO-_tp8h@y7BpZD>vY+-jnmo*?oayoa=5&dYV?l zZd~@Y-i=QZg=`+rMmg<6_ zUmc=%4<}q<@ldTNo(MEu>&MI@!6OU{o!(QoTBJy0w{)8A0zaF4lFa2-k}-s@5BDeZ z;N%#UoB7ej#K_bdX`^eEG~9*JvpKz|hQf|b=oQ^2IqKcnM<6nv_=k3qb>+;6?b9EA z49o2XMJO6{yr5!U&XD@_7p~q$ct|x`>=iKQ=xp=Mv?^iA&4sS7YQw+vMgI)j%OoO! z!ShA2a%F$__H`#VweaBIiRfC}dV@6Mna3wD0Zt0#0`Z~>&c*}(HN&i(v4Rqu!dMB7 z(O{WW-Hcr}U`v92zA})hYK+HFk9KJb9}-T6m`^2c;|ntu(;`GqdeyPHFCrwi3*tzR z!J`v_;;k?&mBC9`(+uf_3n$_LTItAmYDV%eafh2epM)7XCWn2+cB*sMHTLG~7V#k1)YmUi*z-}$O+;04md8d(yjmH2}WnJ7Nqx2g7Qfs1v zE|jOx@m{*$HiY8E=rglrMW9V@xG|W(^|eFbBpB?+4PAzzhoiQ;wTH zqm?G~(RDJ!Chlwe?%eo7HXM9$@Y42pA}6Ej4GSe}J6>z2ttZRz6=KD&=&Ym#t1~)m z?|kN7x@eKhLoPr2!FuLXxPE`HSJ%JmL`_EF2x0}7%Wr{{1v=%{79ACc6x8`$8R9k& zGa=m(4(H_TPGTq>OI|F+ZSrNZE1X8VGas#%m0YV0i;lxKg?gVoE=C)2xHkrOb1Nr~ z5~|9I7FA^Vd9j7t>*>KcR8=KHCOYD~6x!*tN_VVdN?(3{JtbRZ6`V4k{bBdpDq45W z);4tn2%R#Cc;DOV`dqJL`H=A_Q$ziB&gi2qdMTxb(oy%A)&^kCsdxa`QgdbRzV^N| zl;}ENk<1AGd7UYrPZqSK=^+WeH!y*M5}=mY3D*+=F23>XYvYovPpLdC4NYZ*v$5Dx zOZg?l)aK7ncVgXeZ z)XzEbdC;J}y1D>%`NFkDbCa0->sR2gHZ71cb}M9yhv7l}r0JAh0 zRju9Yp+U6@;2-L&B|J1%0EsyPBlY;-&F#uT2(K_lQ&_iPVi?-{_bu8yZdR*3ZpOc3 zSeJepO4Mv}pnpj!U`d1mjGKoQ|6*d1!RTXiasA-8&fv=t0TY!r9+~1Q4yr8N_lgT7 zb|t@j|J`R_wkz^`zl~OTYhLH@h+Wz$?bwlJ1QO~q&YI;W;V>9aFQXYM<(O`@Ay-@> z*>!d)26;U4e(C}h2A@s02|K-V>uZd+SMJEs6CcFMzISY$l4kAe%mIgj=NxI&$87~< z*r^lTeASHBuNOn&sY}Qt_XIMXFi$Tg`BP9n7f%TP6s}1Ii%cjSr0WX0Kk$1pH}Wt=DzfV5&`T_=J?y_AAe;NnZV}C z6ZTH7;N!Y@C*rXr1BWF;uJv8yTSI(2&jsXHhtx-b3>ZLn4lNro^$izzO)&L*%GpY2 z@UL1-?gzn=Ml(Y9DgGF&&siSG@VDNd2-Emjs9ybie8Mdnj;`nva||Ax5+8-QQ7UI3 zYgUbMu?{^HwvjX<&V5;EVh2sifLzI_+xpyRbt5UN%!Vouw}0!=p+#o@00V5DDjp;u zgF%3b_5d8H8lJl2*fMt~YRY=G@LQKYz*U@+v;F`Qsh@zOM8^82+N=zW>MYc4u5){u z()aeCb%4!33Nk)Q|aUq1m|KAS~8$Fe_oYd){j=JAuJ-iWG(g!Vs7BB?|q zB!p|-x#0Yrazndu_r|Q4DPLrE^EPR;+aL?FTW`|>c-bst(Gjs$tkXtj>|M`vg?tc$ zH)7<~(DG0JKP4K>6Ov>K1vb`-ufGX^k8#H-nR!J^HW)>r)q4~QIM3#Suu#Z?)gsOv%2T9ejaW2X=T*68^!O{8M1;A5%Q zQp_@yf*9AkR62|K_6dth?3;(q0Mag!_%_dBe_E+Fi(E9YfkS+|pZ&zMgCvXRRniob z@St)+OikA^Mk`~Bv-^SZK+Jb}mGwRDfzQT=^We8Z*r-^qPsK%-@a-ygvLDMARbn$F z51ISUOGXay8=XNq>IcBo2HgAsB0u!t@vC0S;%Ul7wyhuKwhF~H%(1s&zRcjFBzxFl z87p}&;LHF=WI2|P20U4V0r)Y~>kjmwrgS?<$Sw*U3;abV!$Lf$pK}H8Utcv3$n8TX zB-Eh+zv1Tqz*O#3_vVrz#w>FCA}lhst)==w)Kulmcp)aO9u;poUIjXK5v^Hx$2VL- zvQHs{{S&T*S3aUYzSTxii{|5NlaDrEnbr`8@m__kJxVp2UEpKSaF&@}ibDkUy|n5q z32x6_zgATJ%HJG_>)Uz2QjOushCglLRsSYxOb%lPx9D;9;T~8Kae3W0SUpqvzi&(R(ze?|G<%S$KTpDsV*D(>tc@5 zxn3zByJ$p&yvMm6P8Z@tTGIKwD%yt?mbvXZCsc`1>)nAe2p6xV|9kv9h+`N6iLNSo zXi+{Pt24(C8q@H+y%MyX97;%0trBJ)BTS-OOCxZfmfKAs73fPLZC8{nbzLN|Dz2)I zW%*J}Bb>jbLtzsYB0uc(@lavg>(9`G+s;f{ifUtl37&eJs`MWn+4I&n6-4dha2k+0 zvCPBV349tntgjM6moiW1V+vfhs{zp8?ZzFiq@=`UzZrfT75)vbRK7zurdVN5rh%~U zI;8ix+^vv|2x5T;xpGhB^-KAk)=-H*Q4&#aJBMS)5ueW- z_k9ynFlR27kS&GQ&HtrG0GRzofp+m4KyKC9mWqaPiu7LQ1&@Pq)S~h?H6UnAhjkQj z8{3*LQbCwh&|KX;ESR@owGa$k zr=Po)1-Yc+pj~@+Vy@H$7F9`{4>Iz{k0;L~a7U&SPNV$fhd1NY9$+b( z$R^08&*UjWW6#1ieEs|uflVm6bZgl&o>i^;DsspOZx2{}KK#&$eP~g%n zpmcXhNs0o}5+W$w(%mT`Dc#Z~B`Jz@2ofp{N=kkEIy3LR`Tk|bbMHOpcXq6`_SzY> zl+0I43y2G{XiRKQl=JJ>xkcZ~3E$4YYu_x4U^=B$r%aeYiUdkcDD2`)3VaP+2)STd ztoc31l%v*f625s?S`QCY{c>$f=%v;=5x(c+;>(I0AH5oSOYWAr6tPI>`drBXpV5_TL7AQRWA9ZO@dfsh{2; zA|;JAB_-19aS*s#*&-7|Ng9ed4N8h^7^Al96{6@WwHqq$taebEqUJ8|ZeF!N{0_AQ zb6irVszbFVWhytl=0|z{&Kgza`rqKVVhn3nR#U?sT`~XfJ0RcIiC+5jk^kvwN`d>z zB@7Q^BgH|Rseq~EsKr6Fo)de)=j4_WYn#C%ThHdR$Lx@!q-Qo@RlqFa6C~U_%g*V) z{47z8B1BJsr<~#}X0lJh|4LV|WPx$m3u^g0FN%%Q2tMdq@FssE{=}H!3kJ^jcW=?F zJK%d6t6w!qo8c3m7q3ZOEAW0P`3?OOp_Nnf?@!N`wuCQ{t<{v*(1@3dDCgil^?jHY zfHYlkBVeLfY+RKiM{3>7erQn@UKJMc#3CH|7QUukRenQh)ue{;4|b2)4yi)5^^mLl z_DgLkcdZsGTln=hovKF})#(U=s?cw>Owo}0Q6JPP@>Bi-i+c&b#=q}khz18*r2tk^ zQky7-qv3bGp?voh|1;b4V79xpPl{LqGTXe#dbS7FzVVFsjh(Wn&q0Xr~u<`8l_gyIHU`Amj|?DqgMx1h;Vb~?zwI? zAB+stV0ttX!{^Gz^q=Y@OdJSrbNak`zi%x2>U~s}&L!eoJK29e9jT6e^@wC8-L-2b zAmw8NU$nr|y`|OLQvQ<9A8sZlcf-bS31z3XWDVU-r-X@iMlsXwds6eTY5u%whC}c2 z?iiZ+RuOlj>d)nQxYw!8L_R&@|LXEyr_jRC{%|zPhNEveW6A0>jgffjQMBmF8nf8a^0r zHcxe7dofY_D)=DO90>xdU=t7%0a5HQr7bPgyy9s2rJUM{8u87>p7FszDYpvFPf>gY z(lVzn?`Wo9#mewlS^72}lKJu6Bk-c+RAJbofdb>$JTI+TnM?E$#;dJ=o>Q_eaJd&6-h$oBr}<*tD5q5Cb5lUd2p5|= z9`2JsijB+aIVmqv2MiMivLeZOBaovwzK==BouBow(ufPnwH=@vZOwEyFl1>YWEjPI z9(Z6-f6Ti5@ID(^$i0UF8q4XJXptUk3uAf9aeTu39;Eh7lNmT3q2pR5z2Y^WvSk;+ zRIFwvl>1LS*XWI9iDZRlaG!=M(395Nb!X_jI^#LoHsiN_wqg%f{t&OTz`07gOKst= z9V&9wzO2O)%d66#fhHDPS$8n$9Jh*iva)N^q=qUAf9x7`zbmO6>`q~zNds89WGIl42 z9DY0Pwkm=={exdmHJPgGeng;tKlYg-)$fco9c|%j3SL!Ldw-J9A$hT^t@H_8zP$35 zJRe7MnagOKwBSARA71vw!0V2pmVCCisySUZ2=#Q}e_l;~pVqZyX&ou_zJY0Td&R*g z`n%ypI?z=qc%1UaTxT>@rnzT5T9XhT1-X}kVhX-*>Puq({*;LaDI`h=u25#hGZ55a z=aGqQyh4sDrZU~n>jd3sJ;SJC1hj}|bmjGGSe*}BLn=jY@e~E;)hM<*m$)&r^$kq= z=hRs>LyG_rzKQv7dxSezJ zipL3C%re`zW95k~Hq0*Rb!2`fuP`+RLwVGyJvEG#AH=L z5_2TktCS2PM@vMztE1SwPiMAOFvKn5xeLU-Kd{StqZdmWdYzlqZBHLKo78(4;a!et z5#ZAlzS`&6N-1BtjAb%j^TW#g`&7@^(oG7|8LixJ_hRC4Y&gq3pBb%BR5(L;-Uc1y zVdmca9r@^0I;X$tOnE2{aB)0|616!N-ZA05XZoFCrE_4y9GB*WFJJj>zdZh9NzGb` zK+kYFH?I3Gy@Gqim0<2=%txfVLgEOJC&(Ub&N8XHWSl5^W4v+Z1waLhB6$M)jaisP zm*_gTAVCko{dXrBK@yXcxYY6q4}I3BNIW(+wXP?x*1q?7XQR4WbX6{nx`^#0i>QU+ zHf$6|`62Pze%bX{6t|3qK=ASn6k$~Gs`g@0WbmNBr$6Y(_WHtApZo33mi~hgV#b5T z$Fv_(KmU11v$Jc|pRr3&^#J2tD?!5CL5sg05->$rw#|Da(w4U|-dTG*ub;D~k#Li1 zt3_A#5X23Xb%2Y`?%Q-w4?h^#c%^f!V;^_C!boV|cMGY1{9#adYg9Hs;GUhKs#RQa z?e*w_;iFQ!8WR>ohQ~gcfWxYvUhVoX{}k?mng;0=94P0?uu@N#Stv+Hu0)nLEUx8A zW;IU;)>h;_P>(x4A|*5*AR!bv9_|$uHjoZTxmxa}$^w69M5^cfH2r1WFN2QS3W9r6 z0O~^vrIjmKkPK+$<`=epReV3y-u|)LNV|;YGtuZ&o~K|GXYlOhF_Z9o?SXHA+`*C} zfF;+MSp~uYVHC3P*Oc&SgY8nwRgh}GSf)n2&$A_}q!Ne0=Vmz}zmSBV}T7G4o)DFiFHi;6aUbu%Od= z)!kq?3SYLN(En3bF!}r-AIFatx^hl2={s0PES~%JKx#GZIYYhm#)qV?Zlk^IuZ*of za8&XdJ2uYV9%I~*EwooLI`<|yXkqmCclmgw$PeKI`~Fa}PU3>h+Y_a~dzL-5<#z-K z%W={8!`U-qW3IFMua_JfZ5?xD_v9Nqvv9sXBh*4!z%nNF$xg9&Q8kL~(IZZrYa|)c zCMh`LHJz@rTL9WIg=n?ya9qx8k9j`!+?xa=tPSinKjz32%`{tOoc>CczdEte5dpWo zSYrNUxPIb5{GuP7a>&GVEj~F>c)3(?G^_UGnTghGN5}5LVGgf!yV71yyQt)(i<{i& zK78SHn|4t*q}|lcVre>Tbd9|UztIyMx13M+#+lS5t!Gx)0WrfQoR}*k`*137Q7yM< ze(ck!-1!U1htn;W&nYH+t|)T&Q4tJ1B8h(BxuZ+Fpj0@GkLNja3ld3J^p3EaU6(`c zT9w2P{3KXreB+LV_YaF>il0kLg^33oiO0?8J*%{a>WEL{?8cv2{0Thv5L`mjI86Oo z9cO2QYG#{HX$LiXaA1(8nWDR zv}1?(tyKGqBph^Tp#)L6W8A+)WmotG%d=-= zuz<{tl+QvCLPgjEWu0WDDv9|{Pif-}ocn$S=zQKs zM=dB8IzRbETu?*#L_iLp@&^dcAaQFY)?Lv~#Om9L!&r zk=%`B4Pp9Fr9A!nY@OXm0iNGqRFv*)rV53TOU_9yh!f$b(FVH?9=3RTZtUNc3XolR zIxt&D>7wG9DBxtgC46HogzabJj#~N}Wd+>_-o@v3QtQoIRkv6x3=~Mu!gC8U0{wfd zZj(}W5R`i2;WeHIJ==TeiEKyW-|{@)Iol%mO0ep1L}0Ld&{N*yl@TagI7j5qpk7w+ zK1fcgWtWt2NGC+<2^UgL3c2UH5Y%ZG8QeisG`AeMERK-vZZ1O{W}Ld*=sUF!bC#ph zM_^xP?|eQaEF0bD28EF~`b%-Djil8E-18c`Y!gY68ZaKm*G&226u{fE z+0UhgXC8MWCdzg88VG9+D5WW^GE2KE)b5CGQ*bK0AUF8@a$4;LLkp3wMd!Sk)cQrl z%%QX-_WFd=+X@jfvwmLt7ZM!T5E~~8x{Sw*kNb}$F-~L+!CoE(8~#2YVE2b^CW7|w zvp;{?r~L8by2%at7%5u2>;$*O5S?M}bOmevWd2bkYfG}4i^p`m4P$EQwwIawB9Z;- zUXqu&+MWYTWQkP#y5=qXSi7q3%RU8Nrl}L$1FV7};%rKkRL1yAcmp+ktZN?cPTE+D z7S~r#b+z7;jn$E93Rf{ly7Jr=s&HlJE=aUBkogeIZyD9 z^bmn!AVUXr=g*Jl&Q*)F*g`bE(3}&_zhnAxl@^~5fmG*ksP`L@SH~#5zfX*hXk=fP z*x?9SbpQTKE|&J5*zaly22_5XExK;EQs&S2YxWjPk`lSEl;O&-&|ROFf3yI(3ONeh zOp}Gif$dO2$#R0@8=vbgqf~Jvs%c3SJ1l>ey6Su-ImY~_t*o{1Ji1nzjz2?-3qp39 zQu^Cc^2IN$x`IMHrc|4$qQNX{iAAawthD%)K7hMt0$~FK0=P&d(i$xU>h;HQ%Vz*- z{zIQ!gCBucD;$3QzX`JFuZiSdc>xr2w%)cZOY6OPi2FW%|~wp@vJ)!iECC|X9N zO#dciREaF9U?tO6Z@N`;Tooer;LszKsf?V_d3fi-2+0)Cn`|9_7$9PKRl1K`TO&2Y zwKL^@BhNMlBuX-pL~zd6=K{zvYOiZPM-rl z;EHWH9PP?aFKYPf+D*;tHYep<7z6o&7d0z2D_-`ZRqX7}1lLu&5@eMb$W#QkUdmB% zG`fc<(k_#M>)S2&UCWV+ZckB$%FAfx2RfD?EgC^VsJ^b>je0A;oO4IVhS>#29aj^k z7Uyr+LJ5nUf{m*QwixGSG^I9rzC9XG)LzUG)~^0s_=qfb+<2f-pneIqTuZ=c7h5WL z3bF-6D@Tc0;NwR(Y{&cOCpVf|@KH$U*POhiI}2GHL9ER5` z9QWY&%7m5hlianp%X=6(S#MxIKX4FBkW7E^%GJ{kS0v?8uQ%)%aNhkSYj<|y(&IuV z_y@xIpR>?I5Pg_?#*v>^Tl4pQJ^Qsb;p)gDQm})S-gg>zO$j$B{ClEjXskh`*l_A4 zV6Vr~R$|k1V%xgI$<+$f>DFf(ufyu#nmi(0Ensx`!~U-1p80yC|2qFU$?>V$`981Z zjyemz#o>L)b-vb%G)0k1j<_A(k5JI-y-mQ7S*YePGISp^J~58Mw4SQQy2h-5T96UV zV>3mi`*r#$oQ9>|zjJn-xR_KS>w#$O0omV|-8R0s-cXL+kwFphz{OJc-IDi&^OI2t zinFDg0CNxlc1{!}G8udq%lbZp4d(iquu|E>95`acgFN{2m$|s5zUqpxk+dv;` zMe>Lil7fzOif-Ze0Xxl2Pswm`U5HjJn_fOl6RG*y^O??oV4~6#8?~zu6i`6K;Ivrs zb;5+|B@aX zmASv>xfOX+C$TME*iE&`+3sz_D>glzH36gYROBd0ha7`k+ZlT4Tz$ldvAVH-vx{?P z8}_~vU#F1!_gbghUUTkB9*)enhIX8TTqs)`YgH(_^0cpHx3!U>y0s+<#13H;)ftEe zIx-~#qlDcY^`f%m-gey{#v&{i^(m??L(WdTNYb-qU%i%Z?iDCG(1EAz8MGppXKXf` zoS)Kc`RB4u?5Xd%zdz5~-lmMo<66AscQOXmy z`j7qWV_f5=u;haQh<*}t4cJ%&G$KxpO2(~5r!ASk*j&C;XgN0Po339m9SGn&wAhnn z&eri~y(aO_G|~MZ7>&@NSVp5l_F-X1s6?#yz-tm_4NTa9E(9InkSSSpl;KcA5hrhh5A+C**s+h-2@Of^9H*bfxT?%V5`oZ*}XiIoV>Sj??vEGrUmq z9K0FIoK{EV2U8TH-=kf;{2KUPooWk$U8SOdVjhc+7h+yb^BouZKaHior*R4ZsVLRU z!LQ9$TJE*q1*;!U5PID`!|viHb}CU1dib`%h_uhW76arfI0TU^c?{?g6#OsY1A!R( zKKN=pmR?Ca5IOonQo5f3mApLkH(-;eAMNhr>>|XA;v|)Ok+cp zQQhNqNFs-U=j(VtZHnfO1o(SA+K#_|$q1~a;7OkiO7wKWTC@z8j5Rt)s4Y=ncqNZo zgEVq|I`7^qdPeo|z>-e$=3CIHJLCK26-u?ukQA?CnaJ$fqg~igkZ! z2~HBM#eaUx1BiWl2@ys#qYXXX4dd)BDtdw6Uy5@dl6z=lz1MMJ<9Q(5-QBtH?dv1C zl>Ry;cEr9&Y5B7m0S9#Xs&DlS0UcYxkLg}^bi`BPs-zM-l|7VN2-77XiN*{Hl5&>H zam7)L`!V+&X|#M-)8Ka$&!(^?_08wsd5|&mjZSF;sWLsqh3WUgFPP-rWnF0TIGe5z-=t7^n)>cm8r_u9z2=E~#V z?`+6BXy)87e;ghmc&n-Mr&1wBSig`6x3ZH^I8HB@j-~q(R;(nSHbAIH3(hvCYm4rm zp^lj&sq}9#1hv?FPdp9dzBFf88UL+8g*#QL?|0HR6D3u!*bYLoyM+seBZ9PCPC!+; zm~|2K36hT(Fsh3AVqIB&lf*{l2E=NU$j%&alMraV%Hj!fdsY&XW_wLG?GQ~kw_jLwM*r-6vX(=>oEA+0+ z+`@s@8nZU3Kd=LoGGukreCU3tM$s?qeOHqXm4*vkXXgG`J#jPD-^&fBUqxw zEL?c=ige*knP?G!kMQ}NkEj$pbgvkv-|!`&d*J8&K6BaVh4md|pzMN0V|GvU@GY13 zl2+&|Hsx47eG0(dR+#pa5VL5pPJBDe@e0w%Ff^e7F#L@E+59kCT(Z{X?2H@tqE~Gv z#4QZ=bLh#FaT@iuWZqTdJfQTWHcTX8c=omZl1X127VLzP2qxU`QI?6e+<6uAEmkZa zKMG&k^i8}btN@sey}@j}9Go$}^-#9(Q$cybBz@d~&TuTfP9VBON*f5hG#DFEYY6BR zrxtC4kau%zp9rWR#;D7;hDG9DX#rs+Ao(pJH_`Ty%c!=Xu|LOUj!aDB>B_#wYg`GA+@X*0gYJ|*>*kkI*%i%D|S zrB>dPo0^r{JEfds^gj5sD5?eCJegpPV1!YiM6_j~S`Hp9J_3FJ;f$|ri!1t~WrXDC zg?o~1iy!TG*2AlML^uT#nrhHdb$@m0n_AlWiwLM>?kAt}SWkF!nf4vN?waQnkG^rj z`T7#vQ+E6bkH@^s%4I=2Z@cx_*$S~Y{ZHuLlx9e5%GdAo_qV<_+f1Pz^1UF}_6RXv zW*}RrQBeMLRv?Z=`@`&NUQ;@9G_FG;8^S*Y7OEhQRQ4h!%193y4{cEvpixC#pAsqTomnjMP+?Q{MC^LoF8XS@gEkj?2_o=b~pZeLs<|A6=R8p_gz3a@H zg(lc#OzJkAVJ}{yud5iYO_t;fR57=>oRPC*Oh2o8D;K|fCUCSm@lmot3UNk@xzk964k%Oc@Q{4{`t=aDSg7TO2ooBq=A@jKW_!S~3294x^!%f%n$>{U6A{a$ zLUCpu8Y(w+Y!#+r302{=?@#{RTB_TStlLp*?KJ>Dx_$F^Jv8v57tMab6HLW2XsuOoVvKKn56F!TC2RuA0 zt0zz8hb8x5WY{2~yYh0sD*r3teLer}XJ_yC7PTX3&drZ1pm%o`dLt}i$lpSXZvjXT zbj~60R4^K>T6aNfaJ|?%4j9&PbXH|MNZMEbDA_} zYK!L$e$l~T6e#0P0+;A1|D+U45cT=A_3SqbaQUWO{X*POJ8?fPmIjv35CN~8I?_xp z6ovIY^L8_Lf@rsy@w|tN8vD$1(bf}dhu6BsRu6v1bygkkska*hXuv?%)H2_sL31PE zLxqnU8Cb_t>ylqaTsD>|n%{N3x*q-b;pKJfw?zKKBuJF#6c1BMMrPqnhG%=#&=gG= zxpW~0FIo{CO!V|W<-4Qx0}3lAoXM=pOJ6SR|IkKgKQ$1a;(TJaJ?PsL&zxv*Y_v#g zs10A@J9wQmIBY~efG)1oiTMK|F58tEMp9r9iz|)y#pM21J&F*GG zU!BfE*~KCMSJ$JM?U*}zL<0|Yw*omRWg_DE&pbqZtkP<}F6^x-mq-F?MU`y0a5n43 z&feX;xqi2RfV@a9zoFqOL)nTIzT4IVbB7n_2XhgP9|#;s+iy9`zw|8{Z}%>$EiL50 z1hhH`GgQpPImh)Dnd26xnsb(0*l9J#ocUKjuTJh?bVf|k>Ly{5y3(0~8Hpg`!PF)qcmuqx~Liw9@@ zn6TJO*x7SaU(@#>(6$f9WFyFY;CK2)*!L!KEEP+D;9+gHt>9aogPn4;Yi?40bJ~e` znD^Ms7X5yBe6l2dl^kmxK*1VHlz(ukIvYi@KsmyqDkb5lwk#9Qn>fB<=m7Ubn1V$L^cZDIR}f~ZJW0HVT0Bk*)}EzlB&_jrsUJASIzkb{iuqx_B0>_x}HVfcr zAkdQq?-|fp=sBw8H28;JkG+89N9cp9@?icn|G``4u`+{52!LVyPU>70BP_twjmyL$VXqb%?%Hxc3Fyjm{hP%8$9k#T2%42_iA zEX;x8c66@&Djz-lW$3Ej4tnwvea&T}eGO0<${9AMD}o}IS+0Bo(jdMJKh&e7-;8_aNA-TRKR8sJz=LS#~ZSG_`z_Asl+U~#; zogdXU(0sE@cYPnc=Cg}?Qu(>TgW!$X{rkBJ*U+}HMB>7@~y)Ui|AvPGCVT+3)O`K-^bSxZX^O26i5%mQ zahZ5yYEQ56q` zXs8y>7P>-1GS+t<)!XMzaf~-OSi#;su~Yq$2X_x{ERVt`?-0sGBQMEBPy~Z_#MDc| zzl&!e147%rG`p$QJ$oFYfp-BUZ+e4S3Qwl0nZ}BBiJUHMfLl=y03H}6mB7o3xuZ+v zM1ZaY@QkWUwEvWhgxlABpvA~Gfjy}}Stradkb~tbQGq_s`&IaCWlTBRa6o&qKoMOt zFBxqa`Z$LO{(gomfAX0=j>F*Ar%#{GR*|$*@fKL^>SlQ;n*Kx*1~Qx=nGgnq28$qiKk$M@g@9~ltPs5lMsz9zDgb}S}8G!CYbZU1nENpn;2-A z{{)OE?^ev>2XC)L3hyWN#dR+5`@ray5!9T_;t14Gj2EWd$+xr9<81J#JzJq_D^bt6 zOv=|tgGN|Rg2wNo-|Xsu0+LD`H>NB}G?n*Iird3L@1POS)8CnJzW@Kf@c}e78;Bj0 zOn3Ueig4~i)-8b-JuqdF1`Ux20z5!uV0rVWW;Z82v45~HDl{(wok|m|)sX^U*eiKh z6dGl55X_L?_Rbf>ZmB0aYCBOmM(j2wRDqWv)^r|kwNi#{dVFD!E*y%k6o*qMNN@=4 z+Rn+ZAr#`A58e`*RrxVm38RTWRcICZCz4#wYrTowb_{77a2p6JO( zlBoZUlwd%Hhb1+Xtg(fe2yPoOSN34};+MOfQ-7V%43XxL=&3g4BCBoO-~P##tn@`|?5y7cUnc9=@l2o%++$cP}q#b~6RX;FFMmJ5 zKhQWANiy0SJ=i~7DHriN*}@eQM(8?RRnwt$I`3SlEWaMNXg6Vi~ zh(pF8u!?fa@;X0*#fg{cm6E(6>(J;{n&ce>U!KYkgP`=O&dzx6Qvbgmx8ZP(JoD!K zXp#2gOLoh=`1(AoNX>;D{TBDh-Itqy2ih&%pV^$Q<#_Pca`{E~2%}lER3{LBcYPqS zWkTL2<+slnw@J=KgZZ;CgbgZ#v-#{SzF!3Kqz`5yF_U`Im~s_4J#r6Zxe4=!kob%s z(^!7fNH~?wQTU{o?^RsBs`*)<*`m zMcOTNV!@l-Z7@60@<>-e)Z=&cZ~1uU_=3~;Nj0WQYi0xGCAIyd-s~Xt$qeT49(~Ql zpQ4E;_k@e7A3p?$Q?=F81M+@1$(j291UV4=Net%n_P)T18(bhma(U3fEpL6PWRdiUUDHTTCukjiQ6#7Kw++4KSTLi{4p;(K~Fr( z_0_SGqJ53&OeotP6R%u^E6riz+_)omQvx;eEwF+5hRF^>4y$njbR7LlX6%)n=kG8m z^NWRziqh}JOTGYfqkDa_Y7tkhci1cZ#@!KC7Hll^S7Mtp^*ifTLkpi(M6cE`iL7KG zM;S=Ld?m{J5!UY7xXPeH&ttOWt0p^P-a#6Zk+6SKU(x#2v*nQ%&MOxVvRxew$`fp{ zdagsZ_PhbL2FT#VEyIy_<>n{Z;39W1-4DM8GP8e+p;J`NlEShgeOWbB^^X?7MuH_2 zsy%M=5BWAkF%6&AyX<&Ilbche?;bM-qnbCqcT#ucMfI3>8F?vlmB`(V9ViUowt_P@>DV+7}A~F zZBHkfze2gd65RmFSFX(tRj^ASw>E=~0vH;gT34 z)EwPJv@A#?IED)C{on)I91U=(pyYdJTRqD8v~Wk*IG?%dw`}A3JuzIT1P%lJl9P#l zJ^UB2C=W_qKff$jaB4gqi<~7NLplH#iz+Xk%*J=9s>9608!jb&k`Rs0xHqp}q{1ME zO~SqqyCz>^{Dby6VD8^=1`jOi^Si}cntHy7 z);kqx7UeCx=~Hrp==BLEI29wWh1as9O&+ruV16G+Hh#o_lkm^8)d}=AK9N6ahH4lB zolUCT-TNoK@JtuCTF7~^~JVnj6er$Kpc_d>FRo*>`e0REqG65RiMq_21O;YgNPaUz|A za4d6cBcYNozJ9IMI4%ksg;VtrI47|ePx?hn^3+})mBG5}m}~FDy7+u;m7EG|F9aRl zVLw%Ps7e*(;j9$RoXs!Idf_|Y245{w6oZ0@FkJvoK)TS}c<6x-4V9PqPde2F zf&Yd99Y1gPc||*+s0F2*5Q``!mDA$`?PCpJO~^#BM-#75@;+2=TIB=f?yX$0%t+0I z<3Sk3wIG}Cs6X#Y{&jXPToK-hF}+b4uLvf zw~C&&Tog_&vqru*RG$Ts7RcWN8ViCIwn85n0#8B7uaqsLmr4-TTBVfC^%&A;%>}9d z{(h~8o3@jcbSUH)+N5mlGX1d&5CSMYcGZ9%o`^$^`qfzwVmV8Roqd25$&Jjm)mbZaHCz(dY}eFCR6wgYk%Z44rL5ChsB{WQI11YND?yE|RO9-%#nHbiDH zczZ|Zh6>^`;-?eIwQK%#iU|`hvHyGO>+CJ(l&JGzCMHk$g`)C0gk(L8>R#4(ovZ@& zT+~umC31#QlO79Pth#$*MhEorG3fmXY|k4@$yfjuv(vC3!kS1DbFV6pNgM<8w^AZW2-zvs2J2nHMVog(W?wC)(Pl-ZIJwelafe_bt>Bb-7cfr z&}#@5$bm4Eo7LJ-;nDcka#WpD4s_r_6#VwIKgw`L>DQs4B)cBK+VTUxv^{i-*h^xs zi)1oX2->>3J1wRA*XJB6Q>dP3=FUPP;x>}1Zxdzu=m4zgGDWL&68CSC+OyXNAz5TX3$dz3$V`g&c_k@# zxUNOE`a-;$+$KwK&phA#g0kLp;l>ab=H*Dyv!GZ0amf!RzfdoO>L>uyN?wTit2@P( zbbW@YqbAC{0T%TJ)>+XJc0$sOX3=JMFz9bFOJ0f7orLZ_zXrtiv3$o;&n(@*^DJ+) z;%b_qnDR5l2*=6qERpF~oW5l3BdK(Um(H1c*UkO|jrsDEJ`sk<-ysX!mF1!#7>+qogVA@b6KwRb8?Q<`pWm8Ei-{Jmo-B?XZd28_kV~`_~&q9 zc?Ye-%HI5%YCNPxxZ~PE`1A!}Ua~761*;}h7eh^`hL~b>9poRbW==wfzA1Y_piHlp zJ<05_*cK?6OcP1yDzFHi)mRtaS5u&807$iWxL8zX9+HBZ(PMbpmRgYz$WSw>DQ{=0 zpL~{t*{QwPiM4ba+35~WsnVkc_6yU$o}3ubB1fFlf*!JwyuIz96uio)ep9-H)4Kuc zl$sZ=2FYj%!oFO;3Zx5z)PzF|PZK6Jn2aJtp3%34ULd<;flslBbs! zqoq~&f7mDQOPD$i^m{PMrj;dudpbc!>3I9;^{1w_EwE{?#*X~C@nFmb)nR@nn zcjdLM4_5bShsYxus)I=wzaI(l|KBg*T*;tm7Dpl4ba7)=`S73;;7{>p%u? z+z#3GL1z`C%Dg`u(O+%`Loqt0$q&pbY58gfIxC!IP!JolX12St$oh*`W4wsWH(?m5 zLi~Jm$z>!Q`o-4rKj!0t#OtpwZ>J8RuL&0#Wh|arr%sM4p1O(_d#bGVqcOkVz>L6n zQ;iuv9!V)$x<3@cmnfL#tT%=YPu8Mjq*Y+Eo zmcGm{Bjy_RzXBi{*75x+vwL6=bsZ?1#JS%UZXJYC2BdxJIj!dE8y{lMq5j?wxA5=33Yo=l*%OG7dGe?3IGHd}Ps zMHMh<D{nNz2EZGz@O~9^JI>$JoHXz~wWSN~%s%lMTPR)0G|pK)R>H08 zeM|V}1sIJhO!Q*Z(E3M_*(DF&`*9;X)^OCJQ`CI2P>7s*tn#7{gv>(5 z8{jQl<16_3Z|wFq1Dcb0>@G%APPFxC;k6gHUl_Q250;A;E{I{1f0;i`CstGD-0=B> z+g39MfeqkEQZ?3-gvF~#Gvc8YSFSO4UaB67XG!e)Nn4;Q?Hy7cr~24+Of8W87!aiR zy14P>bcG)&aGy{WPZZIxeVQ6=U%E*e*($&_c8)#IjUAcHv+nDE_Bjl9B671Lrx)sXQg@BY(+v|*r!Rf)P@W&%l|2pqQt2EX%MnZ(nXvME-qw^e>`!^A7gWr69}$4*LL2#e?aiz8lz=ke z^JW)t3#^Pggs2lFKFDdX4M--F)ROR z0#*-Xy0sVq*Q}*cO9GO(&2izem|?g618y}jyiVla8_wDBePos(HA5zP5-uUpS$v|O zubNqxt6V@+wnj$F=DZC5SuWg$tmHa1lKecJa{fV1pTm?0LE8h&e(RKMph(r4iB}oT z!m6GO=T*R9v3;e%6o7~MHb!`^UbS^|FK^!xcC9u#EKeRW4AD5U&)HJTPV8>Q^9mmE z8&1CgQzMng`Lm#kfNNMy$D55w;G?ea#_B)m9Juxm`?dU@qymDEDdwyT(OY;!G&t3U zvMisc4dy9Of@i8~e@TNybYbG-w?QkPvID1Bz&mDD*t>gDUDj)Xs81#pdVjrP=qjy= z+&*H|hECrL+9n6|L=ibUY2W&Sahg4q?bR9#7n#WuHOO!r^uJ>l#x6?yxmSaB6th$+ zcWqi&cavAb5k|>-wQkg6gkNKo6+2UjyBNZtl3ty<@c}3A??b+w?p*{I6~Ef7!8Et9 zHeObiFn`lry-UJ8(={w1H0WgB7jOHMETwSNvvxlbiExz};rZ-CDMiF;1eeXvgHNB2v zIvl#mTx`73g?Duw%bBVvtK$0aI^YNBRH;5^~*rI>0yX2z%YiW_) zx37sSSkB*tP~GYF9D!eplen%HpM^Kj!<8sc(x^aDn#& z?R)koivKI+`Iu*TXa$czs-ETvBsjUu;uByRS-Ec8;&#B^-qGRv7;#LCHcb@e{GsyT z7*LU#?fAd@NI;RLQH0R_z-C&%s7JUohoPDh8-0&?l2OY`uw)M4D@(j=W5{sN!ma2# z^Z5720>e<)p~Z!50acWz?_N~0Msj$|^Y6;H8_Y9k@d?pEUihZ{@DbpX|Az2ToU_G5 zc_v{2)aA<@T3BxdLHI03F$+=WQnuT^Jc3$WtX-w~{Oq{SemR8gB=_%^1;rmYujD3f z_py{QM!SN%$jjkZh9e%ONEkfYLgGO1UF;6I#)25D6%~jfde7BC6F84TolYbTe=hY} z>J0*Tdirmckqr?epAYoM>bwS()GG8K`CJeA*~jS=;^cBe<9AcFSP2<4_xpNRJW&|Z zIX=+I93y8(fHEGv@x4&15PidNemw%t#shR(gQnDNAUzrl5{?1lEg~eAL;3<3TKIX3 zFiSpR4F+H|6g~pO0;k-w4YCZik*2zT0%&;DsYK5XjpH}R*tvxgUaA;N2DE7#c=!Xv zGX1TeO4_n#rr`xjCP9P%j8FmuGNU3K29&8W!lf~j(El-I|<>3{Dn^>VVRRxax9|?$q*5lA`Nr<(q*F5=rImT}2KluyACcFl8C#t%r z+3jH8rBJ-LjTR&L4?D)U_Bdj9YmO4eDYW$Pa?&+JCfL5(trNC>VxD7`mI#1Azy}HEKGWq%;|Ik$obkPuUz%Ll-?Ay5&3PV|Og*Q^ zXjr<~dnH#TLnP_;ilXX|F>$?^?<$bUH zNpqzj{B)aUz;S6;Rc%(gE%gux z0cL60+X~L(A{n!L1-=2@CMsLdP9;4UGw_~d$GWhpXa&fd4N+tgGQRfipYdL?*8s=# zB(Rawp1tm5-!m=HC?G`A&;TFmRX0;iAp~$sqJVcCh+5ZY>WN@<^+mmBIeXj>Ou}E9 zH9UU+&p>aAHg|v$HLXqm{qog*am9WzobwJGu)?9aIIhkBU{Fj#wKuYej-Fn|KQkmE zLI&>l4v<9DaJx#i@TMF^5<07b7@@aZk5Gt4C@$3?P_9&HPMAG7 zuLm4q{^?+%*{xPklhUp&mX$2MeX0cEvQ`8iA7`16-NR)&YUn}B2QI_1 zAUFcTuTFzw;=03+k7zJvsDaV-*PA;JHE<{3EFk-*Y_g6=O&tzMi%BrZfAlyS@`B|v zBD~5HDtB$BG;(oJ=rQF~i-}E44uVNNN7YV`;ao>(k*OXcco1zZB(|dj~Wi6FDD8 zCzVuwS87-3J*xs&m!mFv177CLCaq$fuVZI=2Gec(a&4RFJOJ`Q9D*d}@p^?4brvvv zpgJ}J?9wuMD((K^=Eqo8B|yJ4GILQ}yU?!z?x^JVK->s_45RoGW9kX*UNjnQXso6J zq{Rx$QRa-TwYMSX)v@RZaj_O9AQs0;JT`sG`#*~Sa>&F#223s{7J^1LLSsQ;II3?T zw>4y49sr`!DO+ahT-xSL_lez&XTN|woy@As)uRG& zeDT(@2be6lyH;vMPy;?XA(yD%Z!0IVr-k6L3@yu|=MxjWK`N9z zY7Btik*})5)z8|lX*~c+4yjaN%{2!vDDEPT9(gWxaNA5(-gap^N?g^5z^vFV0>j4HbTbMtR;szb=$r37lF5X{79Kc? z3N|6`O#@FJ2q#oLHE#L5)v5)^LcMfW+Mob^{WJdhkPZC=XtZhCMd+$>x+5vi zle?>Suk8t#&`%86*+J#l5@hRW4w?bPqk%>M8x;w+l1C5|ESM)s3YWD+zTAF!to%BZ z(N;J<_<@0E;3BO&G2!dbq>&C0j^9M{rzNNkg>T-*I{=?;ALewbo9SjAB*@A;0_su3GWljj z$^RWZA*0x#-0R2XvT?zjqkwC4o9Yt)k3s_MIl4n~6|iQ7G61`ZZqmnH*RRpaH1uV) zm4~P8$22P5gqVi_E^@S;ektsP*KB4tI#NV!*L>9_zi2M@U?bwOf@o#ceAu+$8121; z5q;nd4)g5uVuxba)r!hW)93mlFHtM!$78nuD`m_)zJT-<`GvjnQz5+Fr!>$((+ZOyMUL;&nGKMMd5>2 zpT=KO7ZFdoNx8S^{&VH&+~87@(>cbz{tTxVU8@b9Lw(U3OzHKEv8H}!AeiVFb8RFu zrk0F<9*W@dKJ;u(Wbj_?M;ND*DO@{e@6+BXlz?nP)Ga3H-EVOsdGhMwMp?a&x|Gdhz_n@S?JtjM3j=1xR~2 zbjiKHvpwELMK@&X&S}*Q!%)70STYuuukLSg*iWdnh3VIB#+h7mWz99M?p zb#LfdstpaYr`R7okn)#q6)%9L^1#|@*3NDSz+@^&5 zS5z1|86wBZ@3qX%##TL1lHxtY`o^#*+XZbu)r-LGjt4+DZ#p0vStxeKEG)PZ6_TQM z7Hqp5#04SAmAbW;u$esZef<=IaP|?j+S|S_j{z8{> zNW)MM|Nc4`41&7TVA7-l z<`CCH#vsd=4lDoPmRRhk@#aUw3A}o!6V= z^AHD3ZDw6roQjB$Tj8*52vw}|nD{!H2gp86xn*HqX}g4$j00WuD$2y+v=xRK_S8E#)2#{~o~06`+s`I%KwOz{m-*z z7%PQJl#TV)B;`u1dQNof)PIX5EPg_eH*rg0oj5TJ>kZHZ{J|0~5ETRh4;Y~asPnPN z9%edxBg)x8fU5xgyzk)@4gmNBIjGcGqK}>=X1NSD{Ioqo8iBb9b^hsmZ2&}W`?_7v zmI0UVbTXPtNFl1p=r>)8!T>m8WJhTCksb_mr&W#t9Bi`fh{po-aLdFBT>-6x7m6aL zm%?U?*nN{fA)U-Ty~74xM1bhK4MbX4w9wK-qAJ_?VktnjSRrF|Yg`=A)S4VJhZYwn zKIT%e;in;qLGz{VmNF%gNH^If*Nl{3 zIt8HF3NO}gDd@IfwhH6ToaL4VHtkH+lNuSrYKMDMtVS46TPAK{0f5rK7wd_p`KLmQ zYp`L|k!XiallNQT{E$3oR4xI`J6Q`{K&w~9YAjpUg4Ld+Fz0LWpl)05Is_s!F~;MY zvs`a50LVQ`ViYt%UL*(-f99~OJ7`dxS&(FPQb?%|K_7iiR^AqM|JfxcfQ&dOK>a_G z>Wd+>Y&15K$NL%m-!%a7)g=dYK-<)cx0?|q#P0#63RHaH3-WQ3AE}EY$Oj^pA+PG- zAW?kz?cEz}TWK#2Asbg=b9Y!^T|vceFs|m;(KxPoAeDRtS}Sz1!%TU*rcQyWiNFmc zSInris6xJh#lkmG&)#UjV<;sm0BG|3Q&+1s=u-82h2KjT)kx0KScR_7zNz)1O+UCJ z*E4{o&a18vatsZ(qFrBI>^gTEOh&|LWgAz42yhbvH@X?9bR<;}Lq3ZbmE3FD0YtDC zdM^5%c}BZ)4s}HYa||EkIuVk{9~vD>nLYX9T%t{yP170BCOIB%DUe(|ss(fN)-A=j z)l~0E*%m&RlI)-hT|bEdT?R@K)C}@Y^sFJ!qQ~fWq`SXQS8grRVkSX(-U7NGiKeve zLqCCw+X6xZJJET_-qC7{`oO4uy=)i(y488Rv@`d@f%z)o&E|--0UwG|m^&ho@Kcw` zic3wQ2L6_Iix`GaA7m#XVC?wfP51N{Z`AFLO9_RVYHJ zpT{mWPE&`a#fV8(uCSn@g7_rB{=@nzpeM1;f&WA(?%LLmm%zEf2oz{P}GJ?fl&j6Hj@$ zmPG&x;w|w6wPb?j-RNtF$8Xx3IO(O5t9qSugFq-aUzMk&HpplvLGMFsRCem`#}`tp z>v|@}{Sd%oFThZBP^t$}2Tz|Q9(!b1+JqunauBWAA8jydN<8x}Vg{#6XEKU*3hT42 zv9nsIQNVPb>?eEJyss6S%>19GN{kA+ogbyT*3@sBV>{ zIW`nWOfX!a{&G)g&l!e;qGkHvh@XVGSMg!mLvAOmvnpB-K^qcz zq?G)2RFAv8auGQexvO%cXlUYk?R-&TA@)^CZEZ^CZc5cuKjRMcvb;Ki3o{9l{5XsM z;C0?;^584Jo$Cc^tM|8}e-fx@2 z8tJ~ygJcA|i>=>x)f%M)tCn0_Nsfb%S#za>-QaO~Ma7G^_m5kqit5)S=$=g7QNlmB zH;a3Em#es=ovhZpYx>Q#1>f$<0=Y})E8_7r<2g0H1*`5S(&7msi}Cfoo}S0MybW~y z`}^cO3ld1B3pD6e?k2j&=J!mnIS719Mem-sW`?qgj1^qClCzbvQ(Kfqkvflh4jlp1O%oVpX z2?O`OU2$@1C~I#HqQ~G87YLW6l&|N$U)&IBSco?m%4-=J896K`mr^Zb+j5^A+hHc9 zFxp>5mynV=;N7~A+P$P^32iH9s^hn!!$AD_xW9TL63LFu?K|LZX}N!Sf68rZ|Mmjo zr#MMEQ6RUu3bo|@A+9CRkn#_?Il9s;&*RFMdYVqHqti!^sn3aWlbRvQQ*GmBH{RU6 z;(gK0?XMnaCyJv;i_<4gKiHhm(UH@o7O_^iSdvc5YdH1SUok<<+4I&fdxfdw2~o!s z5LoRS3K_#2ZrIogC49-m;VTndC0wSn&-VAVw5-^RR{jLb_NBMV^ZlLH ztpjdu5SxpX^%$M>?I~CXt>*(O?ARszeUXl;uxs+|>m<93LBE=wOtT=j&?~wh=rvip zGr_Ahv~7}DWcGRY@}4G?8D)BHNA#T^bIG8?)ra3?_f4vQ(RqA(U7dnSTC)7)@vV=- z2br4*@*hk0%zvebwIyMakLqn&mbVsIzIUC5zn@Kdp^zD8Q?!SO+-DtLTo<1gu5rt* zH$S}QwxK}Yin;z{YI62RdT^^$(WzU}y?9vU%~mO>KSl`5Oe+tHWOw0-4d=f;+6LCj zN$cC*f7zjb5D~r=((wDEfJyE`?aL!P*1K{Gg8ZN!YolGeC2{99;N&4m2tTy5mUA@F zH5+lW2}^l3g|&$X17vcehwJwcjlonBjdc|GtuHPcF}vp#|H%u|Hj8eL|0wdzPa}j} zA-Mx=UT8R<&~FpCj4Q0p0zMutSjKP>RS^jt=~3w8EE>v_$Q^NgBubj_W=fv+oHPdh zHJdk4v{k@@?|_0hPfX_=q@7#{(A1;0qNcb1N1XO!zwGDSatG{m6}OnVDRi{^B$}3R zjCI-CyVPD9so?~ZY^5*K!0~IMr&a1mG#W`j&PPUcG`?_kebrOF;R@6BN`I{YYI z?K{N*HJ@#eZrdhhS{;Y8^LuHQG!2Jl)4eob+5~*Ztl-VuUij7_ZI0olF`VT9ooAJ3C#bEW;P` zIyg8u{BAn{x#|b~y&Hhu_KcaC+0jvYo!H5I!M%Hf4{_K{HD@$gdstf^Xp1IBRo2u9 zR7W^$4SJIG9M*5Asd4t^U+c#dH7Dqxo!#A~;qVsGWN0<)f#5-QU%bD~f$&u;$BrMD zt*u`GkJn%kgYn_jdU1PFTC9jCSPVmZ5hwSqnHstK%AWT2;-O(-x3aRb@XdL?3r<@` zzQ=j9?e#qgV@UGa!WO7BpN+UuFzIK z>crv0SY`T+e4hbrU3^Rhz3D5BDs|c@pOsaE`NWIZDorRuhcxp1(VGYO0p%k3(J4~@ z94g>422Z&!a@PCLXl$5L3O?<|o&-t&sNUAnJ%Gvjud)pIql2_P(Est^;Of617gY#z za{TW_EKyDS{&@MhJpuH)6kFD~wbWDDr^{ux{AEJ23)mI-@K>C`!a6HzzO12hV%|(v zMdXsZ5aov{_ey^R3n8}Vj~eG33;-!<=%Ja_`l!q%8p=|duh4Rh_&utFI=4-}guP0?f|&?hp{jN*QTw9{qv8pT z7}Hd^kCf@;N=K} zmp_N9>2?W99x-;x3>|N$qinc78_pJG*jHvb^yj<&xJVWOUfwN`$5#Q_C(#7D9_htcwWYe5_7puTx0qvKnR4Gb`9YHAi& zu1I0va87*=L3uyUt5>gDI66wU+m#j8*6y>m3ebi$r^?OoRC)iSt5SP5-@ZVBC5t}9 x|Kj$4Lo^*xDmia<^yu;5!U3Oa{eQV=TCjS)NhW%$)&vXuQ&H9+